TY - CHAP A1 - Geisler, Sebastian A1 - Bauer, Joachim A1 - Haak, Ulrich A1 - Jagdhold, Ulrich A. A1 - Pliquett, R. A1 - Matthus, E. A1 - Schrader, R. A1 - Wolf, H. A1 - Baetz, U. A1 - Beyer, Harald A1 - Niehoff, Martin T1 - Optical proximity correction for 0.13 um SiGe:C BiCMOS N2 - We present results for a rule based optical proximity (RB-OPC) and a model based optical proximity correction (MB-OPC) for 0.13 μm SiGe:C BiCMOS technology. The technology provides integrated high performance heterojunction bipolar transistors (HBTs) with cut-off frequencies up to 300 GHz. This requires an optical proximity correction of critical layers with an excellent mask quality. This paper provides results of the MB-OPC and RB-OPC using the Mentor Calibre software in comparison to uncorrected structures (NO-OPC). We show RB- and MB-OPC methods for the shallow trench and gate layer, and the RB-OPC for the emitter window-, contact- and metal layers. We will discuss the impact of the RB- and MB-OPC rules on the process margin and yield in the 0.13 μm SiGe:C BiCMOS technology, based on CD-SEM data obtained from the evaluation of the RB- and MB-OPC corrected SRAM cells. KW - optical proximity correction KW - 0.13µm SiGe:C BiCMOS Y1 - 2008 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:526-opus4-15194 SP - 376 EP - 381 PB - Society of Photo-Optical Instrumentation Engineers (SPIE) ER -