@inproceedings{GeislerBauerHaaketal.2008, author = {Geisler, Sebastian and Bauer, Joachim and Haak, Ulrich and Stolarek, David and Schulz, K. and Wolf, H. and Meier, W. and Trojahn, M. and Matthus, E. and Beyer, Harald and Old, G. and Marschmeyer, Steffen and Kuck, B.}, title = {Double exposure technology for KrF lithography}, publisher = {Society of Photo-Optical Instrumentation Engineers (SPIE)}, url = {http://nbn-resolving.de/urn:nbn:de:kobv:526-opus4-15176}, pages = {65 -- 73}, year = {2008}, abstract = {The application of Double Exposure Lithography (DEL) would enlarge the capability of 248 nm exposure technique to smaller pitch. We will use the DEL for the integration of critical layers for dedicated applications requiring resolution enhancement into 0.13 μm BiCMOS technology. In this paper we present the overlay precision and the focus difference of 1st and 2nd exposure as critical parameters of the DEL for k1 ≤ 0.3 lithography (100 nm half pitch) with binary masks (BIM). The realization of excellent overlay (OVL) accuracy is a main key of double exposure and double patterning techniques. We show the DEL requires primarily a good mask registration, when the wafer stays in the scanner for both exposures without alignment between 1st and 2nd exposure. The exposure tool overlay error is more a practical limit for double patterning lithography (DPL). Hence we prefer the DEL for the resolution enhancement, especially if we use the KrF high NA lithography tool for 130 nm generation. Experimental and simulated results show that the critical dimension uniformity (CDU) depends strongly on the overlay precision. The DEL results show CDU is not only affected by the OVL but also by an optical proximity effect of 1st and 2nd exposure and the mask registration. The CD uniformity of DEL demands a low focus difference between 1st and 2nd exposure and therefore requires a good focus repeatability of the exposure tool. The Depth of Focus (DOF) of 490 nm at stable CD of lines was achieved for DEL. If we change the focus of one of the exposures the CD-focus performance of spaces was reduced with simultaneous line position changing. CDU vs. focus difference between 1st and 2nd exposure demands a focus repeatability <100 nm for the exposure tool. Summary, the results show DEL has the potential to be a practical lithography enhancement method for device fabrication using high NA KrF tool generation.}, language = {en} } @inproceedings{GeislerBauerHaaketal.2008, author = {Geisler, Sebastian and Bauer, Joachim and Haak, Ulrich and Stolarek, David and Schulz, K. and Wolf, H. and Meier, W. and Trojahn, M. and Matthus, E.}, title = {100 nm half-pitch double exposure KrF lithography using binary masks}, url = {http://nbn-resolving.de/urn:nbn:de:kobv:526-opus4-15169}, pages = {698 -- 705}, year = {2008}, abstract = {In this paper we investigate the process margin for the 100nm half - pitch double exposure KrF lithography using binary masks for different illumination settings. The application of Double Exposure Lithography (DEL) would enlarge the capability of 248 nm exposure technique to smaller pitch e.g. for the integration of dedicated layers into 0.13 μm BiCMOS with critical dimension (CD) requirements exceeding the standard 248 nm lithography specification. The DEL was carried out with a KrF Scanner (Nikon S207D, NALens = 0.82) for a critical dimension (CD) of 100nm half pitch. The chemical amplified positive resists SL4800 or UV2000 (Rohm \& Haas) with a thickness of 325nm were coated on a 70 nm AR10L (Rohm \& Haas) bottom anti-reflective coating (BARC). With a single exposure and using binary masks it is not possible to resolve 100nm lines with a pitch of 200 nm, due to the refraction and the resolution limit. First we investigated the effect of focus variation. It is shown that the focus difference of 1st and 2nd exposure is one critical parameter of the DEL. This requires a good focus repeatability of the scanner. The depth of focus (DOF) of 360 nm with the coherence parameter σ = 0.4 was achieved for DEL with SL4800 resist. The influence of the better resist resolution of UV2000 on the process window will be shown (DOF = 460 nm). If we change the focus of one of the exposures the CD and DOF performance of spaces is reduced with simultaneous line position changing. Second we investigated the effect of different illumination shapes and settings. The results for conventional illumination with different values for σ and annular illumination with σinner = 0.57 and σouter = 0.85 will be shown. In summary, the results show that DEL has the potential to be a practical lithography enhancement method for device fabrication using high NA KrF tool generation.}, language = {en} }