@inproceedings{GeislerBauerHaaketal.2008, author = {Geisler, Sebastian and Bauer, Joachim and Haak, Ulrich and Jagdhold, Ulrich A. and Pliquett, R. and Matthus, E. and Schrader, R. and Wolf, H. and Baetz, U. and Beyer, Harald and Niehoff, Martin}, title = {Optical proximity correction for 0.13 um SiGe:C BiCMOS}, publisher = {Society of Photo-Optical Instrumentation Engineers (SPIE)}, url = {http://nbn-resolving.de/urn:nbn:de:kobv:526-opus4-15194}, pages = {376 -- 381}, year = {2008}, abstract = {We present results for a rule based optical proximity (RB-OPC) and a model based optical proximity correction (MB-OPC) for 0.13 μm SiGe:C BiCMOS technology. The technology provides integrated high performance heterojunction bipolar transistors (HBTs) with cut-off frequencies up to 300 GHz. This requires an optical proximity correction of critical layers with an excellent mask quality. This paper provides results of the MB-OPC and RB-OPC using the Mentor Calibre software in comparison to uncorrected structures (NO-OPC). We show RB- and MB-OPC methods for the shallow trench and gate layer, and the RB-OPC for the emitter window-, contact- and metal layers. We will discuss the impact of the RB- and MB-OPC rules on the process margin and yield in the 0.13 μm SiGe:C BiCMOS technology, based on CD-SEM data obtained from the evaluation of the RB- and MB-OPC corrected SRAM cells.}, language = {en} }