TY - THES A1 - Haeberle, Jörg T1 - Transparente leitfähige Metalloxideinkristalle und amorphe oxidische Dünnschichtsysteme - Eine photoelektronenspektroskopische Vergleichsstudie T1 - Transparent conducting oxide single crystals and amorphous oxidic semiconductors - a comparative study N2 - Die Materialklasse der transparenten leitenden Metalloxide (engl. transparent conducting oxides (TCO)) und amorphen oxidischen Dünnschichtsysteme (engl. amorphous oxidic semiconductors (AOS)) sind überaus faszinierend, da sie gegensätzliche physikalische Eigenschaften, wie Transparenz und hohe Leitfähigkeit in sich vereinen. Die zugrunde liegenden physikalischen Mechanismen sind immer noch unklar und werden in dieser Dissertation beleuchtet. Dazu wurde die resonante Photoelektronenspektroskopie (resPES)gewählt, da sie sich in den letzten Jahren als vielseitiges Werkzeug für die detaillierte Untersuchung der elektronischen Struktur und der Identifikation von Defekten ausgezeichnet hat. Im Rahmen dieser Arbeit ergab sie die einmalige Möglichkeit, sowohl hoch qualitative TCO-Einkristalle (ZnO, Ga2O3, In2O3 und SnO2) als auch amorphe oxidische Dünnschichtsysteme (GIZO und SnOx) photeelektronenspektroskopisch zu untersuchen und zu vergleichen. Somit wird gezeigt, dass die elektronische Struktur der Materialklasse der TCO und ihrer korrespondierenden AOS mehr Gemeinsamkeiten aufweisen, als bisher erwartet wurde. Es zeigt sich, dass die Bandlücke, im eigentlichen Sinne, in der Materialklasse der TCO und AOS nicht existiert. Lokalisierte Zustände füllen den gesamten Bereich dieser Bandlücke aus und reichen zum Teil in das Valenzband (VB) und Leitungsband (CB) hinein. Dies führt dazu, dass es keine, wie bisher gedacht, scharfen Grenzen zwischen lokalisierten und delokalisierten Zuständen gibt. Um dies zu verdeutlichen wird ein neuartiges Modell eingeführt, das aufzeigt, dass die Wechselwirkung von metallischen d- und s-Niveaus in einer Öffnung der d-Schale resultiert. Durch Charge-Transfer (CT)-Mechanismen und weitere Hybridisierung mit metallischen unbesetzten p-Zuständen folgt eine stärkere Lokalisierung. Die Diskussion wird begonnen mit der Klärung der Elementeverhältnisse der untersuchten Materialsysteme. Darauf folgt die Charakterisierung von intrinsischen Defekten und ihren Beiträgen auf die elektronische Struktur. Abschließend werden die elektronischen Strukturen der AOS mit denen der korrespondierenden TCO verglichen. N2 - The material class of transparent conducting oxides TCO and amorphous oxidic semiconductors AOS is of big interest because they are combining contradictionary physical properties like transparency and conductivity at the same time. The physical mechanisms are still not clarified and are investigated in this thesis. For this reason the resonant photo emission spectroscopy (resPES) was utilized. The resPES is an all-round tool to investigate the electronic structure and to identify defects. In scope of this thesis the unique change was given to investigate high quality TCO single crystals (ZnO, Ga2O3, In2O3 and SnO2) as well as AOS with photo electron spectroscopy and to compare their electronic structure. It is shown that the electronic structure of the material class of TCO and their corresponding AOS have more similarities as expected. It appears that no band gap is existing in TCO and AOS. The whole gap is filled with localized states which even extend to the VB and CB. This leads to a non-sharp border between localized and delocalized states. For clarification a new model is introduced to explain the interaction of metallic d and s states leading to a d shell opening. Further CT-mechanisms and hybridizations with metallic p states results in stronger localization. The first part of the discussion is about the elemental ratios of the investigated materials. It follows the characterization of the intrinsic defects and there contributions to the electronic structure. Finally the electronic structures of the AOS is compared to those of the corresponding TCO. KW - Metalloxide KW - Dünnschichtsysteme KW - ResPES KW - Experimentelle partielle Zustandsdichte KW - Intrinsische Bandlückenzustände KW - TCO KW - AOS KW - ResPES KW - Experimental pDOS KW - Intrinsic gap states KW - Metalloxide KW - Photoelektronenspektroskopie KW - Elektronenstruktur KW - Zustandsdichte KW - Energielücke Y1 - 2016 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-40356 ER - TY - THES A1 - Niese, Sven T1 - Lab-based in-situ X-ray microscopy - methodical developments and applications in materials science and microelectronics T1 - Labor-gestützte in-situ Röntgenmikroskopie - methodische Entwicklungen und Anwendungen in der Materialwissenschaft und Mikroelektronik N2 - The method of microscopic imaging using X-rays and diffractive lenses was developed at synchrotron radiation facilities and it was recently transferred to systems with laboratory X-ray sources. The first part of this thesis focuses on instrumentation, in particular on the fabrication, characterization, and application of multilayer Laue lenses (MLL). The second part describes a micromechanical in-situ test that is used to study crack propagation with X-ray microscopy in microchips in a dedicated fracture mechanics experiment called micro double cantilever beam test (MicroDCB). MLLs were fabricated from WSi2/Si multilayer coatings using mechanical preparation and focused ion beam milling. Initial characterization of the obtained lenses using scanning electron microscopy and X-ray microscopy was used to evaluate the quality of the multilayer stack and particularly to identify geometrical imperfections of individual lens elements. Crossed partial MLLs were assembled as a compact lens device for two-dimensional operation, i.e. point focusing of synchrotron radiation or full-field transmission imaging. The optical properties were simulated using a geometrical optics approximation and a physical optics model. Experimental results verify full-field imaging using crossed partial MLLs with a focal length of 8.0 mm for Cu-Ka radiation in a laboratory X-ray microscope. Sub-100 nm resolution is shown and remaining aberrations are discussed. So-called wedged MLLs employ dynamic diffraction to increase the diffraction efficiency. A fabrication process is presented that allows a subsequent geometrical modification of the lens element using a stress layer. Thus, the wedged geometry is realized independently of the multilayer coating. The resulting layer tilt is measured using a laboratory X-ray microscope. First investigations of such wedged MLLs with synchrotron radiation at a photon energy E=15.25 keV show an enhancement of the diffraction efficiency of 57 % in comparison to a tilted MLL with the same dimensions. The long working distance of the X-ray microscope facilitates the integration of customized equipment to perform in-situ experiments. The MicroDCB tester was designed and built to drive a crack in an appropriately prepared specimen. It is compatible with the X-ray microscope and it allows tomographic studies under load. In particular, the method was applied to investigate crack propagation in the on-chip interconnect stack of advanced microelectronics products. Stable crack propagation at this location was achieved. Subsequent tomographies were acquired at several load steps. The reconstructed datasets show no critical distortions. This test is assumed to provide valuable information about crack propagation such heterogeneous structures, what is of interest to address reliability issues. N2 - Die Methode der mikroskopischen Abbildung mittels Röntgenstrahlung und diffraktiven Linsen wurde an Synchrotronstrahlungsquellen entwickelt und kürzlich auf Geräte mit Laborquellen übertragen. Der erste Teil dieser Dissertation beschreibt die Herstellung, Charakterisierung und Anwendung von Multilayer-Laue-Linsen (MLL). Der zweite Teil beschreibt einen mikromechanischen in-situ Versuch, welcher benutzt wird, um die Rissausbreitung in geeignet präparierten Mikrochips mittels Röntgenmikroskopie in einem bruchmechanischen Experiment namens MicroDCB zu untersuchen. Die MLL wurden durch mechanische Präparation und Focused-Ion-Beam-Bearbeitung aus WSi2/Si-Multischichten hergestellt. Die Charakterisierung der Linsen mittels Rasterelektronen- und Röntgenmikroskopie erlaubt die Beurteilung der Qualität des gesamten Multischichtstapels sowie die Erfassung geometrischer Abweichungen einzelner Linsenelemente. Gekreuzte partielle MLL wurden als kompaktes Linsenelement für zweidimensionale Anwendung, d. h., Punktfokussierung von Synchrotronstrahlung oder Vollfeld-Durchlicht-Abbildung, zusammengesetzt. Die optischen Eigenschaften wurden mit je einem auf geometrischer Optik und auf Wellenoptik basierenden Modell simuliert. Die experimentellen Ergebnisse demonstrieren Vollfeldabbildung mit gekreuzten partiellen MLL in einem Labor-Röntgenmikroskop. Die Linsen haben eine Brennweite von 8,0 mm bei der Verwendung von Cu-Ka Strahlung. Sub-100 nm Auflösung wurde erreicht und verbleibende Abbildungsfehler werden diskutiert. Keilförmige MLL weisen eine erhöhte Effizienz durch Ausnutzung von dynamische Beugung auf. Es wird eine Herstellungsmethode präsentiert, die eine nachträgliche geometrische Anpassung einer Einzellinse durch das Aufbringen einer verspannten Schicht erlaubt. Damit kann die keilförmige Geometrie unabhängig von der vorliegenden Multilagenbeschichtung erzielt werden. Der resultierende Kippwinkel der Schichten wurde mit Röntgenmikroskopie vermessen. Erste Untersuchungen solcher keilförmigen MLL mittels Synchrotronstrahlung bei E = 15,25 keV zeigen eine Erhöhung der Beugungseffizienz um 57 % gegenüber einer gekippten MLL mit gleichen Abmessungen. Der lange Arbeitsabstand des Röntgenmikroskops vereinfacht die Integration von individuellen Vorrichtungen für in-situ Experimente. Der MicroDCB-Prüfer wurde entwickelt und gebaut um einen Riss in einem geeignet präparierten Untersuchungsobjekt voranzutreiben. Er ist kompatibel zu dem Röntgenmikroskop und erlaubt tomographische Untersuchungen unter Last. Die Methode wurde insbesondere auf die Untersuchung der Rissausbreitung in on-chip Verdrahtungsebenen von hoch entwickelten Mikrochips angewendet. Stabile Rissausbreitung innerhalb der Metallisierungsebenen wurde erreicht. Dabei wurden Tomographien an mehreren Lastschritten durchgeführt. Die rekonstruierten Volumendatensätze zeigen keine kritischen Störungen. Es wird erwartet, dass dieser Versuch wertvolle Informationen bezüglich der Rissausbreitung in derartigen heterogenen Materialien liefert, was unter anderem bei Fragestellungen hinsichtlich der Zuverlässigkeit von Produkten der Halbleitertechnik von Interesse ist. KW - X-ray microscopy KW - Diffractive X-ray lens KW - Double cantilever beam test KW - Röntgenmikroskopie KW - Diffraktive Röntgenlinse KW - Röntgenlinse KW - Rissausbreitung KW - Röntgenmikroskopie Y1 - 2014 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-35665 ER - TY - THES A1 - Kutukova, Kristina T1 - In-situ study of crack propagation in patterned structures of microchips using X-ray microscopy T1 - In-situ Untersuchung der Rissausbreitung in Mikrochip-Strukturen mittels Röntgenmikroskopie N2 - The motivation of this thesis was to control crack steering into regions of engineered 3D-nanopatterned structures with high fracture toughness and to determine the local critical energy release rate for crack propagation in 3D-nanopatterned systems. On-chip copper interconnect structures of advanced microchips, insulated by organosilicate glasses, were chosen as an example system to study fracture on small scale, since this is a well-defined 3D- nanopatterned system and since a high mechanical robustness is requested for microchips. An experiment for in-situ high-resolution 3D imaging of the fracture behavior of 3D-nanopatterned structures and of the kinetics of microcrack propagation in solids was designed and applied, combining a miniaturized micromechanical test and high-resolution X-ray imaging. Particularly, a miniaturized piezo-driven double cantilever beam test set-up (micro- DCB) was integrated in a laboratory X-ray microscope, and nano X-ray computed tomography was applied for high-resolution 3D imaging of the microcrack evolution in the on-chip interconnect stack of microchips manufactured in the 14 nm technology node. The measured geometry of the microcrack at several loading steps during the micro-DCB test and the subsequent data analysis based on linear elastic fracture mechanics and the Euler-Bernoulli beam model were the basis for the development and application of a new methodology to determine the critical energy release rate for crack propagation in sub- 100 nm regions of a processed wafer quantitatively. It was experimentally proven that specially designed metallic guard ring structures at the rim of the microchips dissipate energy in such a way that the microcrack propagation is efficiently slowed down and eventually stopped, i.e. they are effective to prevent mechanical damage of microchips. It was demonstrated that it is possible to steer the microcrack in a controlled way by tuning the fracture mode mixity locally at the crack tip. The established concept for a controlled crack propagation provides the basis for further fundamental studies of the fracture behavior of nanoscale materials and structures. The results have significant effects for the understanding of fracture mechanics at small scales, e.g. in microchips, but also in other nanopatterned materials, e.g. in bio-inspired, hierarchically structured engineered materials. The experimental results gathered at realistic microelectronic products provide valuable information to control the crack path in on-chip interconnect stacks for design-for-reliability in semiconductor industry and to manufacture mechanically robust microchips in leading-edge technology nodes. The experimental study of controlled microcrack steering into regions with high fracture toughness provides knowledge for the design of guard ring structures in microchips to stop the propagation of microcracks, e.g. generated during the wafer dicing process. N2 - Die Motivation dieser Arbeit bestand darin, Risse in Bereiche von 3D- Nanostrukturen mit hoher Bruchzähigkeit zu lenken und die lokale kritische Energiefreisetzungsrate für die Rissausbreitung in 3D-Nanostrukturen zu bestimmen. On-chip Kupfer-Leitbahnstrukturen, die durch Organosilikatgläser isoliert sind, wurden als Beispielsystem für die Untersuchung des Bruchverhaltens in kleinen Bereichen ausgewählt, da dies ein gut definiertes 3D- nanostrukturiertes System ist und weil für Mikrochips eine hohe mechanische Robustheit gefordert wird. Ein Experiment für die hochauflösende in-situ 3D-Abbildung von 3D- Nanostrukturen und der Kinetik der Mikrorissausbreitung in Festkörpern wurde entwickelt und angewendet, wobei ein miniaturisierter mikromechanischer Test und hochauflösende Röntgenabbildung kombiniert wurden. Speziell wurde ein miniaturisierter Doppel- Cantilever-Beam-Testaufbau (Mikro-DCB) in ein Labor-Röntgenmikroskop integriert, und Nano-Röntgen-Computertomographie wurde zur hochauflösenden 3D- Abbildung der Mikrorissentwicklung im on-chip Leitbahnsystem von Mikrochips, die im 14 nm- Technologieknoten hergestellt wurden, angewendet. Die für mehrere Belastungsschritte während des Mikro-DCB-Tests gemessene Mikrorissgeometrie und die anschließende Datenanalyse auf Basis der linear-elastischen Bruchmechanik und des Euler- Bernoulli-Balkenmodells waren die Grundlage für die Entwicklung und Anwendung einer neuen Methodik zur quantitativen Ermittlung der kritischen Energiefreisetzungsrate für Rissausbreitung in sub-100-nm-Regionen eines prozessierten Wafers. Experimentell wurde nachgewiesen, dass sogenannte „guard ring“-Strukturen an der Peripherie der Mikrochips Energie so dissipieren, dass die Mikrorissausbreitung wirksam verlangsamt und schließlich gestoppt wird, d.h. sie verhindern eine mechanische Schädigung der Mikrochips. Es wurde gezeigt, dass es möglich ist, den Mikroriss durch Überlagerung der Bruchmoden an der Rissspitze kontrolliert zu steuern. Das aufgestellte Konzept zur kontrollierten Rissausbreitung bildet die Basis für weitere grundlegende Studien zum Bruchverhalten nanoskaliger Materialien und Strukturen. Die Ergebnisse tragen zum besseren Verständnis der Bruchmechanik in kleinen Skalen bei, z. B. in Mikrochips, aber auch in anderen nanostrukturierten Materialien, z. B. in bioinspirierten, hierarchisch strukturierten technischen Werkstoffen. Die experimentellen Ergebnisse, die an mikroelektronischen Produkten erhalten wurden, liefern wertvolle Informationen zur Kontrolle der Rissausbreitung in on-chip Leitbahnsystemen zur Herstellung mechanisch robuster Mikrochips in fortgeschrittenen Technologieknoten. Die Experimente zur kontrollierten Ausbreitung von Mikrorissen in Bereiche mit hoher Bruchzähigkeit liefern Informationen für das Design von „guard ring“-Strukturen in Mikrochips, um die Ausbreitung von Mikrorissen, die z. B. während des Vereinzelns des Wafers erzeugt wurden, zu stoppen. KW - Röntgenmikroskopie KW - In-situ-Rissausbreitung KW - On-Chip-Interconnect-Stacks KW - Bruchmechanik KW - Schutzringstrukturen KW - X-ray microscopy KW - In-situ crack propagation KW - On-chip interconnect stacks KW - Fracture mechanics KW - Guard ring structures KW - Rissausbreitung KW - Mikroriss KW - Bruchverhalten KW - Bruchmechanik KW - Nanostrukturiertes Material KW - Chip KW - Röntgenmikroskopie Y1 - 2023 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-62567 ER - TY - THES A1 - Rouissi, Zied T1 - Role of substrates morphology and chemistry in ALD HfO₂ on Si(111)-H terminated surfaces as model T1 - Rolle der Substratmorphologie und –chemie bei der Atomlagenabscheidung HfO₂ auf einer Si(111)-H terminierten Oberfläche als Modellsystem N2 - This work presents an approach to investigate fundamental aspects concerning the early stage of the atomic layer deposition (ALD) growth process on stepped surfaces. The first interaction between precursors and surface is strongly important for the ALD growth that it is still far away from the status to be completely understood. For this purpose, a few ALD-cycles withtetrakis(dimethylamido)hafnium (TDMAH) and trimethylaluminum (TMA) as metallic precursors and water (H₂O) as oxidant has been performed in order to study the initial metal oxide film growth on stepped surfaces such as silicon Si(111)-H terminated, highly oriented pyrolytic graphite (HOPG) and silver deposited HOPG (Ag-HOPG). These investigations have been carried out at various substrate temperatures, where scanning tunneling microscopy (STM) has been used systematically to probe the ALD features. This technique is delivering unique knowledge about the locality and the density of nucleation’s sites on the different substrates. The data collected are then subjected to a mathematical model to understand the growth and to determine the effect of the surface morphology and chemistry on the behavior of the nucleation. The in-situ cycle-by-cycle STM investigation of 4 initial ALD cycles of TDMAH and H₂O on Si(111)-H terminated at room temperature (RT) and at 280°C displays two regimes of growth: In Regime I (1st - 2nd cycle) an increase in roughness in the first cycle to 0.2nm and 0.34nm respectively for RT and 280°C with a partial surface coverage of 71% and 54% is observed. In the 2nd cycle, the coverage increased to ~98% and 94% maintaining the same film height of the 1st cycle. A complete layer is formed in this regime. The results are discussed in reference to the Puurunen model. Following this model, the determination of the reaction mechanism in relation to the number of Hf atoms/nm² attached to the surface reveals that two ligands exchanges occur at RT and one ligand exchange at 280°C in the first regime. In addition, the origin of the reaction saturation was determined to be caused by the steric hindrance effect. In this first regime, the growth model is governed by random deposition followed by Mullins diffusion as determined from the universal values found for the roughness dynamic exponents (α, β, 1/z) of the film. N2 - Diese Arbeit beschäftigt sich mit einer Methode, die fundamentalen Aspekte des anfänglichen Schichtwachstums auf gestuften Oberflächen während des Prozesses der Atomlagenabscheidung (ALD) zu untersuchen. Die initiale Wechselwirkung zwischen den Präkursoren und der Oberfläche ist entscheidend für das ALD-Wachstum, wobei diese Wechselwirkung noch nicht komplett verstanden wird. Zu diesem Zweck wurden einige ALD-Zyklen mit Tetrakis(dimethylamido)hafnium (TDMAH) und Trimethylaluminium (TMA) als metallische Präkursoren und Wasser (H₂O) als Oxidant durchgeführt, um das initiale Filmwachstum von Metalloxiden auf gestuften Oberflächen zu untersuchen. Als Oberflächen kamen dabei Wasserstoff-terminiertes Silizium(111), HOPG (HOPG: ‚highly oriented pyrolytic graphite‘) und mit Silber beschichtetes HOPG (Ag-HOPG) zum Einsatz. Diese Untersuchungen wurden an Schichten, die mit verschiedenen Substrattemperaturen gewachsen wurden, durchgeführt, wobei die Methode der Rastertunnelmikroskopie (RTM, englisch STM für ‚scanning tunneling microscopy‘) systematisch angewendet wurde, um die ALD spezifischen Besonderheiten zu erforschen. Diese Technik liefert einzigartige Erkenntnisse über die örtliche Verteilung von Wachstumskeimen und deren Dichte auf verschiedenen Substraten. Die gemessenen Daten wurden anschließend mit einem mathematischen Modell korreliert, um das Wachstum zu verstehen und den Einfluss der Oberflächenmorphologie und –chemie auf das Keimbildungsverhalten zu bestimmen. Die Zyklus für Zyklus durchgeführten in-situ STM-Untersuchungen der ersten 4 ALD-Zyklen von TDMAH und H₂O auf Wasserstoff-terminierten Si(111) bei Raumtemperatur (RT) und 280°C (Substrattemperatur) liefern zwei Wachstumsregimes: Im ersten Regime (I, erster und zweiter ALD-Zyklus) erhöht sich die Oberflächenrauigkeit im ersten Zyklus auf 0,2nm (RT) bzw. 0,34nm (280°C) mit einer partiellen Oberflächenbedeckung von 71% (RT) bzw. 54% (280°C). Nach dem zweiten Zyklus erhöht sich die Oberflächenbedeckung auf 98% (RT) bzw. 94% (280°C), wobei die gleiche Filmdicke wie im ersten Zyklus beibehalten wird. In diesem Regime wird eine komplette Schicht gebildet. Die Ergebnisse wurden auf Basis des Puurunen-Modells diskutiert. Dabei wurde bei der Untersuchung der Reaktionsmechanismen in Abhängigkeit der auf der Oberfläche haftenden Hafnium-Atome (pro nm²) festgestellt, dass im ersten Regime zwei Liganden-Austauschprozesse bei RT und ein Liganden-Austauschprozess bei 280°C stattfinden. Zusätzlich wurde herausgefunden, dass die Reaktionssättigung durch sterische Behinderung determiniert ist. Die Analyse der universellen dynamischen Rauigkeitsexponenten (α, β, 1/z) der Filme führt zum Schluss, dass in diesem ersten Regime das Wachstum durch eine willkürliche Beschichtung gefolgt von der Mullins-Diffusion bestimmt wird. KW - ALD KW - Stepped surface KW - Morphology KW - Growth statistics KW - STM KW - Gestufte Oberfläche KW - Wachstumsstatistik KW - Morphologie KW - Rastertunnelmikroskopie (RTM) KW - Halbleiteroberfläche KW - Schichtwachstum KW - Atomlagenabscheidung KW - Rastertunnelmikroskopie Y1 - 2017 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-42418 ER - TY - THES A1 - Müller, Sebastian T1 - Synchrotron radiation spectroscopy studies of the initial interaction of Chromium and Cobalt with the surface of Titanium Dioxide T1 - Untersuchungen der Wechselwirkungen von Chrom und Cobalt mit Titandioxid-Oberflächen mit Synchrotronstrahlung N2 - In the framework of this thesis, synchrotron radiation based spectroscopy methods are applied to study the electronic structures of stoichiometric Rutile single crystals and TiO2 thin films, and the initial stage of chromium and cobalt growth on TiO2 thin films. Resonance photoemission spectroscopy of clean TiO2 at the Ti L2,3 edges led to Auger-like features, with kinetic energies corresponding to the Ti L2,3M4,5M4,5 Auger decay channels. The presence of these features is assigned to origin from a d2L2 charge transfer state. The resonance of the Ti L3M2,3V Auger channel at the Ti L2 edge is assigned to the L2L3V Coster-Kronig Auger, followed by the normal L3M2,3V Auger decay. The deposition of chromium on the TiO2 film causes a strong interaction at the interface, in which TiO(2-x) is formed together with chromium in the Cr 3+ state. Besides the oxidized component, contribution of metallic chromium is found as well. In the ultra-low coverage regime, post-deposition oxidation is observed, in which metallic chromium is oxidized to Cr2O3, accompanied by re-oxidation of the substrate to TiO2. The interface reaction is also reflected by the appearance of two defect states in the band gap. Resonant photo-emission at the Cr 2p and Ti 2p edges shows well distinguishable Cr 3d respectively Ti 3d character of the respective states. Indications for self-cleaning properties are found by removal of surface carbon during storage in UHV, but the influence of chromium on these properties is not studied in detail. The interaction between cobalt and TiO2 is weaker than that of chromium and TiO2. Nevertheless, an interface reaction is found, in which some of the deposited cobalt is oxidized, while the oxide support is partly reduced. The particular oxidation state of Cobalt is identified by means of multiplet calculation including charge transfer and crystal field effects. The calculated XAS and XPS spectra indicate Co 2+ in a tetrahedral coordination with four oxygen atoms. The metallic component of cobalt gives rise to a broad resonance in the valence band down to -20 eV, with a pronounced satellite feature that reflects the oxidized component. N2 - Im Rahmen der vorliegenden Arbeit wurde Synchrotron-Strahlung zur spektroskopischen Charakterisierung von stöchiometrischen Rutil-Einkristallen und dünnen TiO2-Filmen, sowie der Untersuchung der Anfangsphasen des Wachstums von Chrom und Cobalt auf der Oberfläche von dünnen TiO2-Filmen, eingesetzt. Resonante Photoemission am sauberen Einkristall und am TiO2 Film zeigt Auger-ähnliche Emissionen an der Ti 2p Kante, deren kinetische Energien denen eines TiL2,3M4,5M4,5 Auger-Zerfallskanals entsprechen, was auf die Existenz des d2L2 Ladungstransferzustandes zurückgeführt wird. Die Resonanz des Ti L3M2,3V Auger-Kanals an der Ti L2-Kante läßt auf einen L2L3V Coster-Kronig Auger-Kanal schließen, der vom normalen L3M2,3V Auger gefolgt wird. Das Abscheiden von Chrom auf dem TiO2-Film führt zu einer Redox-Reaktion an der Grenzfläche, wobei TiO(2-x) durch Oxidation von Cr 0 zu Cr 3+ gebildet wird. Daneben tritt metallisches Chrom an der Oberfläche auf. In der Anfangsphase wird bei ultra-dünnen Bedeckungen eine weitergehende Oxidation der metallischen Komponente zu Cr2O3 beobachtet, die von einer Re-Oxidation des Substrates zu TiO2 begleitet wird. Die Reaktion an der Grenzfläche lässt sich auch im Valenzbandbereich verfolgen, wobei zwei Defektzustände in der Bandlücke auftreten. Resonante Photoemission an den Cr 2p und Ti 2p Kanten zeigt, dass beide Zustände einen voneinander unterscheidbaren Charakter als Cr 3d bzw. Ti 3d-artig aufweisen. Weiterhin gibt es Hinweise auf die Fähigkeit zur Selbstreinigung, was sich durch Verringerung der Oberflächenkontamination mit Kohlenstoff äußert, jedoch wurde der Einfluss des abgeschiedenen Chroms auf diese Eigenschaften nicht eingehend untersucht. Die Wechselwirkung zwischen Cobalt und TiO2 ist weniger stark als zwischen Chrom und TiO2. Dennoch wurde eine oxidierte Cobalt-Komponente neben der metallischen Komponente nachgewiesen, wobei der Oxidationszustand des Cobalt mittels Multiplett-Rechnung, die Ladungstransfer- und Ligandenfeldeffekte berücksichtigt, identifiziert wird. Die berechneten XAS und XPS-Spektren weisen dabei auf Co 2+ in einer tetraedrischen Koordinierung mit vier Sauerstoffatomen hin. Die metallische Cobalt-Komponente führt zu einer breiten Resonanz am Valenzband, die sich bis etwa -20 eV erstreckt, wobei ein deutlicher Satellit die oxidierte Komponente widerspiegelt. KW - Spektroskopie KW - Titandioxid KW - Atomare Multiplett-Rechnung KW - Resonante Photoemission KW - Metall-Metalloxid-Wechselwirkung KW - Titanium dioxide KW - Metal/metal-oxide interaction KW - Multiplet-calculation KW - Resonant photoemission Y1 - 2010 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-18914 ER - TY - THES A1 - Sohal, Rakesh T1 - CVD growth of (001) and (111) 3C-SiC epilayers and their interface reactivity with praseodymium oxide dielectric layers T1 - CVD-Wachstum von (001) und (111) 3C-SiC Epi-Schichten und ihre Grenzflächenreaktivität mit dielektrischen Praseodymiumoxidschichten N2 - In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100°C and 1150°C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO2/SiC interface. Clean, graphitefree SiO2 has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr2O3 on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr2O3 and SiC, and simultaneously provide higher band offsets. N2 - Im Rahmen dieser Arbeit wird das Wachstum und die Charakterisierung von 3C-SiC Filmen, deren Oxidation, sowie das darauf präparierte Pr-Silikat und die AlON abgeleitete Grenzfläche untersucht. Dünne 3C-SiC Filme wurden auf Si(001) und Si(111) Oberflächen mit Hilfe von Chemical Vapor Deposition Verfahren hergestellt. Vor dem eigentlichen SiC-Wachstum wurde eine SiC Zwischenschicht präpariert. Durch diese Buffer-Schicht wurde das epitaktische Wachstum von 3C-SiC auf Si(111) und Si(001) erst ermöglicht. Als optimale Präparationstemperaturen für 3C-SiC auf Si(111) und Si(001) konnten 1100°C und 1150°C gefunden werden. Im Verlaufe der Oxidation hat sich ein langsamer Stufenprozess mit moderaten Temperaturen als hilfreich erwiesen, um die Graphitisierung an der SiO2/SiC Grenzfläche zu minimieren. Sauberes, graphitfreies SiO2 konnte somit auf 3C-SiC mit Hilfe von Si-Evaporation und Heizen im Vakuum hergestellt werden. Für mögliche Anwendung von Pr2O3 auf Siliziumkarbid als high-k Dielektrikum wurden weiterhin Pr-Silikate und AlON untersucht. Praseodymium-Silikat konnte erfolgreich auf der SiO2 Oberfläche abgeschieden werden und gleichzeitig die Graphitisierung verhindert werden. Im Vergleich hierzu konnten sehr stabile Grenzflächen mit AlON hergestellt werden. Diese Grenzflächen bestehen hauptsächlich aus AlN mit Anteilen von Pr-Al Komplexen. Diese Schichten können als Reaktionsbarrieren zwischen Pr2O3 und SiC dienen und gleichzeitig den Band-Offset vergrößern. KW - Siliciumcarbid KW - Kristallfläche KW - Dielektrische Schicht KW - CVD-Verfahren KW - Oxidation KW - Oxidation Y1 - 2006 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-121 ER - TY - THES A1 - Calvet, Wolfram T1 - Präparation und in-situ Charakterisierung MBE-gewachsener Kupferindiumdisulfid-Schichten T1 - Preparation and in-situ characterisation of MBE-grown Copperindiumdisulfid-layers N2 - Die vorliegende Arbeit behandelt CuInS2-Filme, die mittels Molekularstrahlepitaxie auf einkristallinen Si- und GaAs-Substraten unterschiedlicher Orientierung abgeschieden wurden. In-situ kamen dabei photoelektronenspektroskopische Analysemethoden und die Beugung niederenergetischer Elektronen zum Einsatz. Ex-situ wurden die Filme mittels Röntgenstrukturanalyse, Ionenrückstreuung und Photolumineszenz untersucht. Zunächst wurde die Bandanpassung des Si(111)/CuInS2(112)-Heteroübergangs bestimmt, wobei die Grenzfläche durch das Auftreten von Fremdphasen und durch Interdiffusion nicht ideal und stark gestört erscheint. Es wurde ein Grenzflächendipol von etwa 1 eV ermittelt. Der Vergleich der chemischen Zusammensetzung an der Filmoberfläche und im Filmvolumen deutet auf einen Konzentrationsgradienten in der Schicht hin. Unabhängig vom Cu/In-Verhältnis wurde eine schwefelarme Oberfläche gefunden. Zudem zeigen Cu-reiche CuInS2-Filme unabhängig von der Substratorientierung ein [112]-orientiertes Wachstum, wogegen im In-reichen Präparationsregime die Kristallorientierung des Substrates übernommen wird. N2 - The presented work is dealing with CuInS2-films prepared on single crystaline Si and GaAs substrates of different orientation using molecular beam epitaxy. For in-situ analysis, photoelectron spectroscopy and low energy electron diffraction were used. Ex-situ, the films were investigated with x-ray diffraction, Rutherford backscattering and photoluminescence. First of all, the band alignment of the Si(111)/CuInS2(112) heterocontact was determined. The interface seems not ideal and is strongly disturbed due to the occurence of additional phases and interdiffusion. An interface dipole of about 1 eV was measured. The comparision of the surface and the volume composition indicates a gradient in concentration within the CuInS2-layer. In both, the In-rich and the Cu-rich regime of preparation, a sulfur poor surface was found. On the other side, Cu-rich CuInS2-films were [112] orientated independently of the substrate orientation, whereas in the In-rich regime the crystal orientation of the substrate was adepted. KW - Kupferindiumsulfid KW - Dünne Schicht KW - Molekularstrahlepitaxie Y1 - 2002 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-000000242 ER - TY - THES A1 - Felix Duarte, Roberto T1 - Analysis and optimization of interfaces in "wide-gap" chalcopyrite-based thin film solar cell devices T1 - Analyse und Optimierung von Chalkopyrit-Absorbern mit großer Bandlücke für Dünnschichtsolarzellen N2 - The chemical and electronic structure of chalcopyrite absorbers with different bulk band gap energies, Egbulk, [i.e., low-gap Cu(In,Ga)Se2 (CIGSe, Egbulk ~ 1.2 eV) and wide-gap CuInS2 (CIS, Egbulk ~ 1.5 eV)] and of buffer/absorber heterointerfaces based on these materials are studied with soft and hard x-ray spectroscopy techniques. Mechanisms that benefit (limit) the performance of low(wide)-gap chalcopyrite-based solar cells are identified. This knowledge is used to develop surface tailoring treatments to optimize buffer/absorber heterointerfaces based on wide-gap chalcopyrites and improve the performance of their solar cells. Photoemission spectroscopy (PES) characterization of the two absorbers (i.e., CIGSe and CIS) reveal compositional-depth profiles. The changes detected in CIGSe include: a near surface Ga-depletion, a strongly Cu-poor surface and a strong presence of surface Na that (likely) occupies Cu vacancies. A similar Cu-deficiency is found in CIS. The depth-composition changes result in significant widening of the band gap at the surface, Egsurf, (i.e., CIGSe, Egsurf: 1.70 ± 0.2 eV and CIS, Egsurf: 1.88 ± 0.2 eV) as evident by ultraviolet photoelectron spectroscopy (UPS) and inverse photoemission spectroscopy (IPES) measurements. Differences in the interaction of the CIGSe and CIS surfaces with deposited buffer materials are identified. PES and modified Auger parameter studies reveal strong intermixing at the CdS/CIGSe and ZnS/CIGSe heterointerfaces. S L2,3 x-ray emission spectroscopy (XES) measurements of CIGSe substrates submitted to CdS chemical bath deposition (CBD-CdS) treatments show the formation of In2S3 and defect-rich/nanostructured CdS at the interface, compounds with higher band gap values than the measured Egsurf for CIGSe. S L2,3 XES spectra of CIGSe substrates submitted to CBD-ZnS treatments reveal the formation of (Zn,In)(S,Se)2 chemical analogs at the interface. PES and XES measurement series show that the CdS/CIS heterointerface is more abrupt, with no detected interface chemical species. Direct measurement of the band alignment of these heterointerfaces reveals: an ideal conduction band offset (CBO) configuration for CdS/CIGSe (i.e., CBO: +0.11 ± 0.25 eV), a spike CBO configuration for ZnS/CIGSe (i.e., CBO: +1.06 ± 0.4 eV), and a highly unfavorable cliff CBO configuration for CdS/CIS (i.e., CBO: -0.42 ± 0.25 eV). The performance of solar cell devices based on these heterointerfaces is correlated to their CBO configuration. Two surface tailoring approaches intended to correct the CBO configuration of the CdS/CIS heterointerface are presented. One method is based on rapid thermal processing (RTP) selenization treatments of CIS absorbers, aiming to exchange Se for S in treated samples. The idea behind this approach is to modify the surface of a wide-gap chalcopyrite so that it forms a more favorable heterointerface with CdS, such as heterointerfaces within low-gap chalcopyrite devices. X-ray fluorescence analysis and PES measurements of RTP-treated CIS samples show a greater treatment effect at the surface of the sample compared to the bulk (i.e., surface [Se]/[S+Se] range: 0.23 ± 0.05 to 0.83 ± 0.05, compared to bulk [Se]/[S+Se] range: 0.01 ± 0.03 to 0.24 ± 0.03). Tuning of the Cu:In:(S+Se) surface composition from a Cu-poor 1:3:5 to a 1:1:2 stoichiometry is observed in RTP-treated CIS samples with lower to higher surface Se contents, respectively. UPS measurements show a shift in valence band maximum toward the Fermi level in samples with higher surface Se content (i.e., -0.88 ± 0.1 to -0.51 ± 0.1 eV), as expected for a reduction in Egsurf due to exchange of Se for S. Ultraviolet-visible spectrophotometry reveals a reduction in the optical band gap of samples with greater Se incorporation (i.e., from 1.47 ± 0.05 to 1.08 ± 0.05 eV), allowing for a working window for optimization purposes. The second tailoring method involves surface functionalization of CIS absorbers with dipole-charge-inducing self-assembled monolayers (SAM) of benzoic acid derivatives and thiol molecules. The introduction of dipole charges between a heterointerface can tune the relative alignment of the electronic bands composing its electronic structure; thus, use of a suitable dipole-inducing SAM could correct the CBO misalignment in the CdS/CIS heterointerface. UPS measurements of the secondary electron cut-off region of CIS samples treated with a selected set of SAMs show a work function modulation of CIS (i.e., 4.4 ± 0.2 eV - 5.2 ± 0.2 eV). Small gains in solar cell parameters of solar cells based on SAM-modified heterointerfaces are measured. An overview of the performance of chalcopyrite(kesterite)-based solar cells in relation to the electronic properties of their corresponding buffer/absorber heterointerface suggests that optimization approaches extending beyond the buffer/absorber heterointerface may be needed for further performance gains in wide-gap chalcopyrite-based solar cell devices. N2 - Die chemische und elektronische Struktur von Chalkopyrit-Absorbermaterialien mit verschiedenen Bandlückenenergien, Egbulk, [z.B, mit kleiner Cu(In,Ga)Se2 (CIGSe, Egbulk~1.2 eV) und großer CuInS2 (CIS, Egbulk~1.5 eV) Bandlücke] und von Puffer/Absorber Grenzflächen wurde mittels spektroskopischer Methoden mit weicher und harter Röntgenstrahlung untersucht. Leistungsbegünstigende(-begrenzende) Mechanismen, der auf Chalkopyritabsorbern mit kleiner (großer) Bandlücke basierenden Solarzellen, werden identifiziert. Dieses Wissen wird dazu verwendet mittels Oberflächenbehandlungen die Puffer/Absorber-Grenzflächen speziell von Chalkopyriten mit großer Bandlücke gezielt zu optimieren, um so die Leistungsfähigkeit der Solarzellen zu verbessern. Photoelektronenspektroskopie (PES) Untersuchungen der beiden Chalkopyrit-Absorbermaterialien (d.h., von CIGSe und CIS) wies auf tiefenabhängige Kompositionsprofile hin. Für CIGSe konnte eine signifikante Oberflächenverarmung von Ga und Cu sowie die Anwesenheit von Na nachgewiesen werden. Ein ähnlicher Cu-Mangel wurde für CIS-Absorber festgestellt. Diese Kompositionsprofile resultieren in einer ausgeprägten Aufweitung der Oberflächenbandlücke, Egsurf, [CIGSe, Egsurf: 1.70 ± 0.20 eV und CIS, Egsurf: 1.88 ± 0.20 eV], wie durch Ultraviolett-Photoelektronenspektroskopie (UPS) und inverser Photoelektronenspektroskopie (IPES) Messungen gezeigt werden konnte. Durch PES und modifizierte Auger-Parameter Analyse konnte eine starke Durchmischung an den CdS/- und ZnS/CIGSe Grenzflächen bestimmt werden. Röntgenemissionsspektroskopie (XES) Messungen an der S L2,3 Kante belegen die Ausbildung einer Grenzflächenspezies an der CdS/CIGSe Grenzfläche, die durch eine Mischung aus In2S3 und defektreichen/nanokristallinem CdS beschrieben werden kann. S L2,3 XES Spektren von ZnS/CIGSe Proben ergeben für diese Grenzfläche ein ganz ähnliches Bild: die Bildung einer (Zn,In)(S,Se)2 – artigen Grenzflächenspezies. Ähnliche PES- und XES-Messungen an CdS/CIS Proben zeigen hingegen eine abruptere Grenzfläche, ohne nachweisbare Grenzflächenspezies. Direkte Messung der Bandanpassung an diesen drei Grenzflächen mittels PES, UPS, und IPES ergibt: einen idealen Leitungsbandversatz (CBO) für die CdS/CIGSe Grenzfläche [CBO: 0.11 ± 0.25 eV], ein „spike“-artiges CBO für die ZnS/CIGSe Grenzfläche [CBO: 1.06 ± 0.4 eV] und ein „cliff“-artiges CBO für die CdS/CIS Grenzfläche [CBO: -0.42 ± 0.25 eV]. Die Leistungen der Solarzellen korrelieren mit den ermittelten CBO. Zwei Oberflächenbehandlungsansätze zur gezielten Optimierung des CBO an der CdS/CIS Grenzfläche wurden vorgestellt. Das erste Verfahren basiert auf einer Selenisierung der CIS-Absorber durch schnelles Aufheizen in einer Se-Atmosphäre, mit dem Ziel S durch Se zu ersetzen. Dadurch soll die Oberfläche des Chalkopyrit-Absorbers mit großer Bandlücke so modifiziert werden, dass er mit dem CdS Puffer eine ähnliche (→günstigere) Grenzfläche bilden kann, wie die der CdS/CIGSe Grenzfläche. Röntgenfluoreszenzanalyse (XRF) und PES Messungen der selenisierten CIS Proben zeigen einen höheren Selenisierungsgrad an der Oberfläche im Vergleich zum Volumen des Absorbers (PES: 0.23 ± 0.05 ≤ [Se]/[S + Se] ≤ 0.83 ± 0.05; XRF: 0.01 ± 0.03 ≤ [Se]/[S + Se] ≤ 0.24 ± 0.03). Zudem konnte festgestellt werden, dass sich die Cu:In:(S + Se) Oberflächenzusammensetzung der selenisierten Absorber von 1:3:5 zu einer stöchiometrischen 1:1:2 Komposition mit zunehmendem Selenisierungsgrad ändert. Simultan wird eine Verschiebung des Valenzbandmaximums (VBMs) zum Fermilevel [-0.88 ± 0.10 → -0.51 ± 0.10 eV] beobachtet, was durch eine Egsurf Verringerung – induziert durch den beobachteten S-Se Austausch – erklärt werden konnte. UV-VIS-Spektrophotometrie bestätigte eine Verringerung der Bandlücke von selenisierten CIS Proben mit größerem Se-Gehalt [1.47 ± 0.05 → 1.08 ± 0.05 eV], welches ein großen (Optimierungs-)Fenster ermöglicht. Der zweite Ansatz beruht auf der Oberflächenfunktionalisierung von CIS-Absorbern mit Dipolladungen induzierenden selbstorganisierenden Monolagen (SAM) auf der Basis von Benzoesäurederivate und Thiolen Molekülen. Durch die Verwendung eines geeigneten Dipol-induzierenden SAMs könnte so das ungünstige negative CBO an der CdS/CIS Grenzfläche korrigiert werden. UPS Messungen an verschieden funktionalisierten CIS Oberflächen zeigten eine Modulation der Austrittsarbeit von 4.4 ± 0.2 eV bis 5.2 ± 0.2 eV. Kleine Verbesserung der Leistungen von Solarzellen basierend auf SAM-modifizierten Grenzflächen sind gemessen worden. Die Korrelation der Bauteileigenschaften von verschiedenen Chalkopyrit-Solarzellen zur elektronischen Struktur (→CBO) der entsprechenden Puffer/Absorber Grenzfläche legt nahe, dass zukünftige Optimierungsansätze über die Puffer/Absorber Grenzfläche hinaus erforderlich sein können, um weitere Leistungssteigerungen in Dünnschichtsolarzellen auf der Basis von Chalkopyriten mit großer Bandlücke zu erzielen. KW - Photovoltaics KW - Thin Film Solar Cells KW - Chalcopyrite absorbers KW - Solid-state Physics KW - Photovoltaik KW - Röntgenspektroskopie KW - Dünnschichtsolarzelle KW - Chalkopyrit-Absorbermaterialien KW - Festkörperphysik KW - X-ray spectroscopy KW - Kupferkies KW - Dünnschichtsolarzelle KW - Festkörperphysik KW - Fotovoltaik Y1 - 2016 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-37402 ER - TY - THES A1 - Hartmann, Claudia T1 - Surface and interface characterization of CH₃NH₃PbI₍₃₋ₓ₎Clₓ and CsSnBr₃ perovskite based thin-film solar cell structures T1 - Oberflächen- und Grenzflächencharakterisierung von CH₃NH₃PbI₍₃₋ₓ₎Clₓ und CsSnBr₃ Perowskit-Dünnschichtsolarzellstrukturen N2 - The chemical and electronic structure of hybrid organometallic (CH₃NH₃PbI₍₃₋ₓ₎Clₓ) and inorganic (CsSnBr₃) perovskite materials on compact TiO₂ (c-TiO₂) is studied using x-ray and electron based spectroscopic techniques. The morphology and local elemental composition of CH₃NH₃PbI₍₃₋ₓ₎Clₓ, used as absorbers in PV devices, defining the film quality and influencing the performance of respective solar cells is studied in detail by using photoemission electron microscopy (PEEM). An incomplete coverage, with holes reaching down to the c-TiO₂ was revealed; three different topological regions with different degrees of coverage and chemical composition were identified. Depending on the degree of coverage a variation in I oxidation and the formation of Pb⁰ in the vicinity of the c-TiO₂ is found. The valence band maxima (VBM) derived from experimental data for the perovskite and c-TiO₂, combined with information from literature on spiro-MeOTAD suggests an energy level alignment resulting in an excellent charge selectivity at the absorber/spiro-MeOTAD and absorber/c-TiO₂ interfaces respectively. Further, the derived energy level alignment indicates a large recombination barrier (~2 eV), preventing shunts due to direct contact between c-TiO₂ and spiro-MeOTAD in the pin-holes. In-situ ambient pressure hard x-ray photoelectron spectroscopy (AP-HAXPES) studies of 60 and 300 nm CH₃NH₃PbI₍₃₋ₓ₎Clₓ have been performed under varies conditions (i.e. vacuum/water and dark/UV light) to gain insight into the degradation mechanism responsible for the short lifetime of the absorber. The 60 nm perovskite forms Pb⁰ in water vapor (non-defined illumination) in presence of x-rays. The 300 nm perovskite sample shows a complex behavior under illumination/dark. In water vapor/dark the perovskite dissolves into its organic (MAI) and inorganic (PbI₂) components. Under illumination PbI₂ further decomposes to Pb⁰ induced by UV light and x-rays. For alternative inorganic CsSnBr₃ perovskites, the impact of SnF₂ on the chemical and electronic structure is studied to identify its role for the improved performance of the solar cell. HAXPES and lab-XPS measurements performed on CsSnBr₃ with and without SnF₂ indicate two Sn, Cs, and Br species in all samples, where the second Sn species is attributed to oxidized Sn (Sn⁴⁺). When adding SnF₂ to the precursor solution, the coverage is improved and less Sn⁴⁺ and Cs and Br secondary species can be observed, revealing an oxidation inhibiting effect of SnF₂. Additionally, SnF₂ impacts the electronic structure, enhancing the density of states close to the VBM. N2 - Die chemische und elektronische Struktur von hybriden metallorganischen (CH₃NH₃PbI₍₃₋ₓ₎Clₓ) und anorganischen (CsSnBr₃) Perowskit-Materialien, abgeschieden auf kompaktem TiO₂ (c-TiO₂), wurden mit Hilfe von Röntgen- und Elektronenspektroskopiemethoden untersucht. Die Morphologie und lokale Elementzusammensetzung von CH₃NH₃PbI₍₃₋ₓ₎Clₓ, welche die Leistung der Solarzelle beeinflussen, wurden mit Photoemissionselektronenmikroskopie (PEEM) im Detail untersucht. Dabei wird eine unvollständige Bedeckung, mit Löchern, die bis zum c-TiO₂ hinunterreichen, enthüllt. Ferner konnten drei verschiedene Morphologien mit unterschiedlichen Graden von Bedeckungen und chemischer Zusammensetzung identifiziert werden. Je nach Grad der Bedeckung, weisen die Morphologien eine Variation in der I Oxidation und die Bildung von Pb⁰ in der Nähe des c-TiO₂ auf. Die Valenzbandmaxima (VBM), bestimmt aus den Messdaten für das Perowskit und c-TiO₂, kombiniert mit Literaturwerten von spiro-MeOTAD, offenbaren eine Bandanpassung, die in eine exzellente Ladungsselektivität an der Absorber/spiro-MeOTAD und Absorber/c-TiO₂ Grenzfläche resultiert. Des Weiteren deutet die Bandanpassung auf eine große Rekombinationsbarriere (~ 2 eV) hin, welche das Auftreten von Shunts, durch den direkten Kontakt zwischen c-TiO₂ und spiro-MeOTAD in den Löchern, verhindert. Es wurden in-situ Umgebungsdruckröntgenspektroskopiestudien (AP-HAXPES) mit harter Röntgenstrahlung an 60 und 300 nm CH₃NH₃PbI₍₃₋ₓ₎Clₓ Proben unter verschiedenen Bedingungen (z.B. Vakuum/Wasser und Dunkelheit/Licht) durchgeführt, um Einblick in die Degradierungsvorgänge, die für die kurze Lebensdauer des Absorbers verantwortlich sind, zu erhalten. Die 60 nm Perowskit Probe bildet in Wasserdampf (undefinierte Beleuchtung) und in Anwesenheit von Röntgenstrahlung Pb⁰. Die 300 nm Perowskit Probe zeigt ein komplexes Verhalten bei Beleuchtung/Dunkelheit. Im Wasserdampf/Dunkelheit löst sich das Perowskit in seine organische (MAI) und anorganische (PbI₂) Komponenten auf. Unter Beleuchtung zerfällt PbI₂ weiter zu Pb⁰ verursacht durch UV-Licht und Röntgenstrahlung. Um die Rolle von SnF₂ in der Leistungsverbesserung alternativer anorganische CsSnBr₃ Perowskitsolarzellen zu identifizieren, wurde der Einfluss von SnF₂ auf deren chemische und elektronische Struktur mittels HAXPES und Labor-XPS Methoden untersucht. Alle Proben weisen zwei Sn, Cs und Br Spezien auf, wobei die zweite Sn Spezies auf oxidiertes Sn (Sn⁴⁺) zurückzuführen ist. Durch die Hinzugabe von SnF₂ zur Ausgangslösung, wird eine verbesserte Bedeckung und weniger Sn⁴⁺, inklusive deren zugehörigen sekundären Cs und Br Spezies, beobachtet. Was auf eine oxidationshemmende Eigenschaft von SnF₂ hindeutet. Darüber hinaus beeinflusst SnF₂ die elektronische Struktur des Absorbers und erhöht die Zustandsdichte in der Nähe des VBM. KW - Perovskite KW - Photoelectron spectroscopy KW - Thin-film solar cell KW - Solid-state physics KW - Photovoltaics KW - Photovoltaik KW - Festkörperphysik KW - Dünnschichtsolarzelle KW - Photoelektronenspektroskopie KW - Perowskite KW - Fotovoltaik KW - Halbleiter KW - Dünnschichtsolarzelle KW - Perowskit KW - Photoelektronenspektroskopie Y1 - 2018 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-46358 ER - TY - THES A1 - Meier, Ulrich T1 - Grenzflächenverhalten und Morphologie des ZnO/Si-Heterokontaktes N2 - Als Modellsystem für gleichrichtende Heterokontakte von Dünnschichtsolarzellen wurden polykristalline ZnO-Filme auf Si mittels Magnetron-Sputtern und metallorganischer Gasstrahldeposition (MOCBD) abgeschieden. Mit Photoelektronenspektroskopie wurden bis zu 3 chemische Grenzflächenkomponenten gefunden: SiOx, eine Willemit-artige Mischphase und reduziertes Zn0. Letzteres kann über die Sputterparameter Bias-Potenzial und O2-Partialdruck kontrolliert werden. Mit MOCBD wurden auf Si(111):H abrupte Heterokontakte präpariert. Ferner tritt an Korngrenzen und der Oberfläche Hydroxid auf. Dies kann durch Heizen entfernt werden. Während der Messungen traten energetische Verschiebungen der Spektren auf, die die Auswertung der Grenzflächenenergetik stören. Zur Erklärung wurde folgendes Modell entwickelt: In einem schnellen Oberflächenprozess findet Photolyse von Hydroxid statt, wodurch H2O oder mobile OH-Gruppen gebildet werden, die Sauerstoffvakanzen ausheilen und so die n-Dotierung vermindern. In einem langsameren Volumenprozess wird durch Photolyse von ZnO die n-Dotierung erhöht. N2 - As a model system for rectifying heterojunctions of thin film solar cells polycrystalline ZnO-films on Si were prepared by magnetron sputtering and metal organic chemical beam deposition (MOCBD). With photoelectron spectroscopy up to 3 chemical interface components were found: SiOx, a willemite-like mixed phase and reduced Zn0. The latter can be controlled with the sputter-deposition parameters Bias-potential and O2 partial pressure. With MOCBD abrupt heterojunctions were prepared on Si(111):H. In addition hydroxide occurs at grain boundaries and at the surface. It can be removed by heating. During the measurement energetic shifts were observed, that interfere with the analysis of the interface energetics. As an explanation the following model was developed: In a fast surface process photolysis of hydroxide occurs, which leads to H2O or mobile hydroxides that react with oxygen vacancies and lower the n-type doping. In the volume n-type doping is slowly increased by photolysis of ZnO. KW - Silicium KW - Zinkoxid KW - Magnetronsputtern KW - MOCVD-Verfahren KW - Photoelektronenspektroskopie KW - ZnO KW - Si KW - Interface reaction KW - XPS KW - PES Y1 - 2004 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-000000551 ER - TY - THES A1 - Das, Chittaranjan T1 - Spectroscopic and electrochemical study of TiO₂/Si photocathode T1 - Spektroskopische und elektrochemische Untersuchungen an TiO₂/Si-Photokathoden N2 - Diese Dissertationsschrift beschäftigt sich mit der Atomlagenabscheidung (ALD) dünner TiO₂-Schichten auf p-Typ Silizium, untersucht die elektronischen Eigenschaften der abgeschiedenen Schichten und beschreibt die elektrochemische Charakterisierung von TiO₂/Si-Photoelektroden. Die Abscheidungsparameter, die elektronischen Eigenschaften und die Stabilität der TiO₂/Si-Proben werden miteinander korreliert. Mittels der ALD-Technik wurden TiO₂-Schichten mit zwei verschiedenen Prekursoren (Titan- Isopropoxid und Titan-Methoxid) auf Si-Substraten abgeschieden. Labor- und Synchrotron basierte Röntgen-Spektroskopietechniken wurden benutzt, um die abgeschiedenen Schichten zu charakterisieren. Die Qualität der Schichten wurde dabei mittels Röntgen-Photoelektronenspektroskopie (XPS) beurteilt, wobei die Stöchiometrie, das Ti³⁺/Ti⁴⁺-Verhältnis sowie Defektzustände in den TiO₂-Filmen Kriterien darstellten. Um verschiedene Polymorphe von TiO₂ zu erhalten, wurde die Heizmethode der Schichten innerhalb der ALD-Prozedur variiert. Die elektronischen Eigenschaften amorpher und Anatas-TiO₂-Schichten wurden mittels Synchrotronstrahlung bestimmt und mit denen eines TiO₂-Rutil-Einkristalls verglichen. Röntgen- Absorptionsspektroskopie (XAS) und resonante Photoelektronenspektroskopie (res-PES) wurden mit Synchrotronstrahlung durchgeführt. Dabei diente die XAS der Bestimmung der Art des Polymorphen und der elektronischen Struktur der TiO₂-Schichten. Res-PES-Messungen wurden an den O1s- und Ti2p- Kanten durchgeführt, um Auger-Prozesse mit multiplen Loch-Endzuständen sowie polaronische und Ladungstransferzustände zu untersuchen und um die elektronische Bandlücke der TiO₂-Schichten zu bestimmen. Eines der Hauptergebnisse dieser Arbeit stellt die Bestimmung der partiellen Zustandsdichten (pDOS) von Sauerstoff und Titan im Valenz- und Leitungsband dar. In der Analyse der res-PES-Daten wurde die pDOS mit den entsprechenden Bandkantenpositionen kombiniert, um die energetische Lage der Ladungsneutralitätsniveaus (‚Charge neutrality levels‘) der verschiedenen TiO₂-Polymorphe zu ermitteln. Photoelektrochemische Messungen wurden an unbeschichteten und TiO₂-beschichteten Si- Photoelektroden durchgeführt. Dabei wurde die elektrochemische Performance der Photoelektroden in verschiedenen Elektrolytmedien mit pH-Werten zwischen 1 und 13 untersucht. Die Beschichtung der Si- Oberfläche mit einer dünnen TiO₂-Schicht verbesserte die Performance der Si-Photoelektrode, wobei die Stabilität der Elektrode in allen untersuchten Elektrolytmedien während der gesamten Messdauer von 12 Stunden erhöht wurde. Außerdem wurde festgestellt, dass die TiO₂/Si-Photoelektrode weniger empfindlich auf Änderungen des pH-Wertes reagiert. Die elektrochemischen Ergebnisse werden auf Basis der elektronischen Eigenschaften der TiO₂-Schichten diskutiert. Die durch die spektroskopischen Messungen bestimmte elektronische Bandlücke sowie die photoelektrochemischen Charakterisierungen werden zur Erklärung der Performance und Stabilität der TiO₂/Si-Photoelektroden herangezogen. Die Arbeit adressiert außerdem die Stabilität von mikrostrukturierten Photoelektroden (SiMP), die elektrochemisch präpariert wurden. Zunächst verschlechterte sich die Stabilität der SiMP schneller als bei der planaren Si-Photoelektrode. Jedoch führte die Nutzung einer TiO₂-ALD-Schutzschicht auf der SiMP zu einer besseren Gesamtperformance der SiMP auch im Vergleich zum System TiO₂/ planares Si. N2 - This thesis focuses on the deposition of thin TiO₂ films on p-type Si using atomic layer deposition (ALD) technique, on the study of the electronic proprieties of the grown films and on the electrochemical characterization of TiO₂/Si photoelectrodes. The deposition parameters, electronic properties and electrochemical performance and stability of the TiO₂/Si samples are correlated. The ALD technique is used to deposit TiO₂ with two different precursors namely Titanium isopropoxide and Titanium methoxide onto Si substrates. Laboratory as well as synchrotron based X-ray spectroscopy techniques are used to characterize these films. The growth quality of the TiO₂ ALD films is determined by analyzing X-Ray photoelectron spectroscopy (XPS) data in terms of stoichiometry, defect states and Ti³⁺:Ti⁴⁺ ratios. The ALD technique was modified with different heating arrangements to obtain various polymorphs of TiO₂. The ALD and anatase TiO₂ films are characterized using synchrotron radiation to study their electronic properties and these films are compared with single crystal rutile TiO₂. X-ray absorption spectroscopy (XAS) and resonant photoelectron spectroscopy (res-PES) measurements are performed with synchrotron radiation. XAS measurements are used to determine the polymorphs as well as the electronic structure of TiO₂ Res-PES measurements are conducted at the O1s and Ti2p edges to study multiple hole Auger decay processes and polaronic and charge transfer states as well as to determine the electronic band gap of the TiO₂ layers. One of the main findings of this thesis is the determination of the partial density of states (pDOS) of O and Ti in the conduction and valence band. The combination of the pDOS and the band edge positions obtained from res-PES measurements are used to calculate the charge neutrality level of the TiO₂ polymorphs. The photoelectrochemical measurements are conducted on bare-Si and TiO₂/Si photoelectrodes. The electrochemical performance of these photoelectrodes is studied in electrolytes having pH values ranging from 1 to 13. The deposition of TiO₂ on Si enhances the photoelectrochemical performance of the Si photoelectrode. The TiO₂ increases the stability of the photoelectrode in all electrochemical media over 12 hours of experimental condition. Moreover, it is also observed that the TiO₂/Si photoelectrode is less responsive to the pH value of the electrolyte. The electrochemical findings are explained on the basis of the electronic properties of the TiO₂ layer. The electronic band gap obtained from spectroscopic measurement and the photoelectrochemical measurements are used to explain the performance and stability of the TiO₂/Si photoelectrodes. The thesis also addresses the stability of Si microstructured photoelectrodes (SiMPs) prepared by an electrochemical method. The stability of the SiMPs deteriorates more rapidly than that one of the planar Si photoelectrode. However, using a protective ALD TiO₂ layer on these SiMPs the overall performance is even more enhanced than on the TiO₂/planar Si system. KW - ALD KW - TiO2 KW - Photocathodes KW - XPS KW - XAS KW - Atomlagenabscheidung KW - Photokathode KW - Röntgenphotoelektronenspektroskopie KW - Röntgenabsorptionsspektroskopie KW - Atomlagenabscheidung KW - Photokathode KW - Röntgenabsorptionsspektroskopie KW - Röntgen-Photoelektronenspektroskopie Y1 - 2015 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-36924 ER - TY - THES A1 - Gerlich, Lukas T1 - In situ ARXPS Charakterisierung von tantalbasierten Barriereschichten T1 - In situ characterization of tantalum based barrier films N2 - Als eine Folge der Miniaturisierung aller integrierten elektrischen Bauteile wird der spezifische Widerstand der TaN/Ta Doppelsperrschichten ein zunehmend wichtiger Parameter für die Schaltgeschwindigkeit beim 32 nm Technologieknoten. In Rahmen dieser Arbeit wird die Optimierung der Abscheidung von TaN/Ta Stapeln durchgeführt, mit dem Ziel die Tantalnitridschichtdicke zu minimieren und das Tantal in der leitfähigeren alpha-Phase wachsen zu lassen. Im ersten Teil der Studie wurde in situ ARXPS verwendet, um das Wachstum von verschiedenen Tantalnitridschichten auf SiO2 und SiCOH in Abhängigkeit der Abscheidezeit, des Stickstoffflusses und der Abscheideleistung zu untersuchen. Im zweiten Teil wurde die kristalline Phase 20 nm dicken Tantal Schichten abgeschieden auf verschiedenen Tantalnitridschichten, die in der Wachstumsstudie vorgestellt worden sind, untersucht. Die wichtigsten Erkenntnisse sind das Auftreten von Tantalkarbid und Tantalsilizid als Zwischenschichtverbindungen bei der Abscheidung auf SiCOH und nur Tantalsilizid für die Abscheidung auf SiO2. Demzufolge wächst alpha-Tantal vorzugsweise auf Tantalkarbid und stickstoffreichen Zwischenschichten, während Silizid an der Schnittstelle das Wachstum von beta-Tantal fördert. Um die Ergebnisse zu überprüfen, wurden zwei weitere Modifikationen des Interfaces untersucht. So wurde eine kleinere Bias-Leistung für eine Abscheidung von Tantalnitrid auf SiO2 benutzt, um die Rolle des Tantalsilizids zu bestätigen. Außerdem wurde eine thermische Behandlung einer dünnen Tantalschicht auf SiCOH durchgeführt, um die Rolle des Tantalkarbids zu bestätigen. Schließlich ergab die Kontaktwiderstandsmessung in Viaketten auf strukturierten Wafern für vier ausgewählte Prozesse tendenziell den gleichen Verlauf wie die Schichtwiderstandsergebnisse der entsprechenden Barrierenaufbauten der Experimente auf blanken Testwafern. N2 - As a consequence of device shrinking the resistivity of the widely used TaN/Ta double barrier layer becomes an increasingly important parameter for device speed beyond the 32nm technology node. In this study the optimization of the deposition of TaN/Ta stacks was performed in such a way that tantalum nitride layer thickness is minimized and tantalum grows in the favorable conducting alpha-phase. In the first part of the study in situ ARXPS was used to investigate the growth of different tantalum nitride layers on SiO2 and SiOCH as a function of deposition time, nitrogen flow and deposition power. In the second part the crystalline phase of 20 nm thick tantalum layers deposited on top of the same series of tantalum nitride layers characterized in the growth study was analyzed. The main findings are the appearance of tantalum carbide and tantalum silicide as interface species for the deposition on SiOCH and only tantalum silicide for the deposition on SiO2. So alpha-tantalum grows preferably on tantalum carbide and nitrogen rich intermediate layers whereas silicide at the interface promotes the growth of beta-tantalum. To verify these findings two additional modifications of the interface were studied. A lower bias power for a deposition of tantalum nitride on SiO2 was used to confirm the role of tantalum silicide and a thermal treatment of a thin tantalum layer on SiOCH was applied to confirm the role of tantalum carbide. Finally, the contact resistance in via chains on patterned wafers for four selected processes showed the same trends as the sheet resistance of the corresponding barrier films on blanket wafer experiments. KW - Photoelektronenspektroskopie KW - Tantal KW - ARXPS KW - Barriere KW - Interface KW - Tantalum KW - ARXPS KW - Barrier KW - Interface Y1 - 2012 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-28485 ER - TY - THES A1 - Schweizer, Stefan T1 - Dynamisches Verhalten von Silizium-Elektroden in Fluor-haltigen Elektrolyten N2 - Einige Effekte von Silizium sind bis heute ungeklärt. Dazu zählt Oxidation von hoch-dotiertem n-Si in Fluor-haltigen Säuren im Dunkeln. Diese Oxidation wurde erstmals systematisch untersucht und zur Grundlage eines Modells für Elektroneninjektion gemacht. Die angenommenen Oberflächenkomplexen erklären den Einsatz der Kennlinien. Weitere Untersuchungen zur Identifizierung des Komplexes sowie seiner energetischen Position bieten sich an. Mit zyklischen Voltammogrammen wurde gezeigt, dass die Vorschubgeschwindigkeit des Potentials entscheidend für die Gestalt der Kennlinien ist. Schon 20mV/s ist für das untersuchte System zu schnell, um einen Gleichgewichtszustand zu erreichen. Dies wird durch die Ausbildung von Hysteresen klar erkennbar. Der initielle Strompuls zeigt eine vielfache Stromdichte gegenüber den bekannten Werten der nachfolgenden Oszillationspulse. Die Wasserstoff-terminierte Grenzfläche wird innerhalb weniger Zehntelsekunden oxidiert und passiviert. Alle nachfolgenden Strompulse können als weniger gut synchronisierte Oxidationen verstanden werden. N2 - Some effects of the well investigated element silicon are still under discussion. One of them is the oxidation of highly doped n-type silicon in the dark in acids containing fluorine. This oxidation was investigated systematically for the first time, and a model for the injection of electrons was established. The assumed surface complexes can explain the onset of the current-voltage-characteristics evidently. Further investigations to identify the complex and its energetic position are necessary. Cyclic voltammograms showed that the scan velocity of the potential is crucial for the shape of the cyclic voltammograms. Even a scan velocity of 20mV/s is too high to keep the system in a steady state. The appearance of hysteresises clearly show this correlation. The initial current is several times higher compared to the typical values of the following oscillation pulses. The hydrogen terminated interface is oxidized and hereby passivated within a few tenths of a second. All following current pulses could be recognized as imperfect synchronized oxidations. KW - Silicium KW - Elektrochemische Oxidation KW - Cyclovoltammetrie KW - Silizium KW - Oxidation KW - Voltammogramm KW - Injektion ; AFM Y1 - 2004 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-000000526 ER - TY - THES A1 - Tavakoli, Mohammad Hossein T1 - Numerical analysis of seeding process during Czochralski growth of oxide single crystals T1 - Numerische Analyse des Ankeimprozesses bei der Züchtung von Oxid-Einkristallen nach dem Czochralski-Verfahren N2 - A series of two-dimensional and three-dimensional quasi-steady state numerical simulations have been performed for the initial stages (seeding process) of the Czochralski (CZ) growth of oxide crystals using the finite element method (FEM). We have considered different cases and configurations with respect to real systems in the CZ-dielectrics laboratory of the Institute for Crystal Growth (IKZ). Using induction heating in metallic parts as heat source the fluid flow and temperature field were determined in the whole CZ system. The aim of these calculations was to investigate and reveal the effect of several parameters such as the geometry and location of an active afterheater with respect to the crucible, arrangement and design of thermal insulation, crucible bottom shape, and seed rotation rate on the flow and temperature field of the system and the seed-melt interface shape. The results demonstrate that the temperature and fluid flow in the gas domain is strongly affected by an active afterheater and its insulation as well as their geometry and position. The results also show the important role played by the seed rotation rate for influencing the shape of the seed-melt interface. N2 - Eine Reihe zwei- und drei-dimensionaler Rechnungen für eine quasi-stationäre Situation des Ankeimprozesses bei der Czochralski-Züchtung (CZ) oxidischer Kristalle wurde mit Hilfe der Finite Elemente Methode (FEM) durchgeführt. Diese Simulation bezieht sich auf aktuelle Züchtungsversuche im Dielektrika-Labor des Institutes für Kristallzüchtung (IKZ), d.h. ausgehend von der induktiven Heizung der metallischen Teile (Tiegel, Nachheizer) sind die Strömungen in der Schmelze und im Gasraum, sowie die Temperaturverteilung in der gesamten Anlage berechnet worden. Es war das erklärte Ziel den Einfluss verschiedener Parameter, sowie Anordnung und Geometrie von Tiegel, Nachheizer und Isolation herauszuarbeiten. Insbesondere der Wechselwirkung zwischen der Rotationsrate des Keimes und der Form der Phasengrenze Keim/Schmelze wurde besondere Bedeutung geschenkt. Die Ergebnisse zeigen, dass Strömung und Temperaturverteilung im Gas stark durch Form und Position eines aktiven Nachheizers beeinflusst werden. Schliesslich ist es mit Hilfe der numerischen Simulation möglich die Form der Phasengrenze fest/flüssig genau zu bestimmen. KW - Kristallzüchtung KW - Czochralski-Verfahren KW - Czochralski-Verfahren KW - Ankeimprozess KW - Temperaturverteilung KW - Kristallzüchtung KW - Czochralski method KW - Seeding process KW - Heat transfer KW - Induction heating Y1 - 2006 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-145 ER - TY - THES A1 - Goryachko, Andriy T1 - Investigations of perspective materials for aggressively scaled gate stacks and contact structures of MOS devices N2 - The thesis discusses a fundamental question of reconstruction on the Si(100) surface as well as three material combinations, which are important for perspective microelectronics technologies: Si/Pr2O3/Si(100), W/WNx/poly-Si/SiO2/Si(100), and CoSix/Si(100). A refined mixed ad-dimer model is developed for the Si(100)-c(4´4) reconstruction on the basis of scanning tunnelling microscopy investigations. A thermal stability of Pr2O3/Si(100) and Si/Pr2O3/Si(100) structures is studied with ion sputtering assisted Auger electron spectroscopy. The latter technique is also applied for precise determination of O and N content in the new W/WNx/poly-Si/SiO2/Si(100) structure, and to study the preferential sputtering of Si in CoSi2, CoSi, and Co2Si phases on Si(100) surface. The WSix/poly-Si/SiO2/Si(100) system, which was previously used in microelectronics, is studied for comparison. The preferential sputtering of Si in WSix is shown to be qualitatively similar as in the CoSix case. N2 - Die Arbeit beschäftigt sich mit einer grundlegenden Frage der Rekonstruktion auf der Si(100) Oberfläche sowie mit drei Materialkombinationen deren Bedeutung für perspektivische Mikroelektronik-Technologien sind: Si/Pr2O3/Si(100), W/WNx/poly-Si/SiO2/Si(100), und CoSix/Si(100). Ein verbessertes gemischtes "ad-dimer" Modell war für die Si(100)-c(4´4) Rekonstruktion auf dem Grund der neuesten Raster Tunnel Mikroskopie Untersuchungen entworfen worden. Die thermische Stabilität der Pr2O3/Si(100) und Si/Pr2O3/Si(100) Systeme war mit der Hilfe von Auger Elektronen Spektroskopie und Ionen Bestrahlung untersucht worden. Diese Methode ist auch für die genaue Messung von O und N Inhalt in W/WNx/poly-Si/SiO2/Si(100) Strukturen verwendet, sowie um das selektive Sputtern von Si in CoSi2/Si(100), CoSi/Si(100), Co2Si/Si(100), und WSix/poly-Si/SiO2/Si(100) Systemen festzustellen. Es wurde gezeigt, daß das selektive Sputtern von Si in den obengenannten Systemen qualitativ ähnlich läuft. KW - Silicium KW - Kristallfläche KW - Praseodymoxide KW - MOS Wolframnitride KW - Dünne Schicht KW - Silicon KW - Praseodymium oxide KW - Tungsten nitride KW - Tungsten silicide KW - Cobalt silicide Y1 - 2002 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-000000342 ER - TY - THES A1 - Mai, Alexander T1 - Aluminium based micro mirrors exposed to UV laser light – in situ performance and degradation T1 - Aluminium basierte Mikrospiegel unter UV-Laserbestrahlung – in situ Verhalten und Alterung N2 - The present thesis characterises aluminium based micro mirrors exposed to UV laser light. Such micro mirrors, used in highly integrated spatial light modulators, can for example be used as programmable masks in DUV micro lithography. Therefore they are sensitive to any performance loss arising from material degradation or changes in the mirror curvature. The key question addressed in this thesis is the investigation of the in situ curvature change, which means characterisation during a real laser irradiation. For this purpose a measuring station was designed, combining a phase-shift interferometer, an optical microscope and the laser irradiation of the sample at 248nm. The Phase-shift interferometry technique used is a very sensitive contactless optical measurement principle, which allows a resolution of the sample surface in the single-digit nanometer range. A multitude of irradiation tests were performed to describe the change of mirror curvature as a function of different irradiation parameters such as the pulse energy, the laser repetition rate or the ambient atmosphere. The most significant effect was detected by the variation of the applied pulse energy, which was in the range of 10⁻⁵J/cm²-10⁻²J/cm². A general conclusion was that a minimum energy of 10⁻⁵J/cm² at a repetition rate of 1kHz is required to detect any laser induced change of the mirror curvature. At higher energy levels two characteristic behaviours can be distinguished. Up to a level of 10⁻³J/cm² the mirrors show a permanent concave bowing in the range of λ/100. A further increase of the pulse energy causes an accumulating bowing in the opposite direction (convex) of λ/10 within some ten million laser pulses. However this convex bowing partially relaxes after the irradiation is stopped. Another aspect of the thesis was the determination of laser induced material degradation. For this purpose irradiated mirrors were investigated by means of different devices and analytical techniques such as atomic force microscopy (AFM), reflectometry and transmission electron microscopy (TEM). The AFM analysis showed a slight increase of surface roughness and a directional change of the grain size. As a result of the TEM analysis it turned out that arrangement and shape of the grains seems not to have changed. But after the irradiation the growth of a porous oxide layer up to 20nm on the upper mirror surface was noticed. Finally different hypotheses are proposed to explain the mechanisms behind the observed concave and convex bowing at particular pulse energies. In this connection it is assumed that the mirrors at pulse energies larger than 10⁻³J/cm² do not show a static bowing at all. It is rather assumed that the mirror bow oscillates with the laser repetition rate. N2 - Die vorliegende Arbeit behandelt die Charakterisierung Aluminium-basierter Mikrospiegel unter UV-Laserbestrahlung. Eben solche Mikrospiegel werden in hochintegrierten räumlichen Lichtmodulatoren verwendet und z.B. als programmierbare Masken in der DUV- Mikrolithographie eingesetzt. Entsprechend empfindlich verhalten sie sich gegenüber Verlusten ihrer Funktionseigenschaften z.B. durch Degradation des Spiegelmaterials oder Änderungen der Spiegeltopographie. Die Kernfrage dieser Arbeit ist die Untersuchung der in situ Krümmungsänderung unter Laserbestrahlung. Zu diesem Zweck wurde ein Messplatz entworfen. Dieser kombiniert ein phasenschiebendes Interferometer (PSI) mit optischem Mikroskop und Laserbestrahlung bei 248nm. PSI ist ein sehr empfindliches optisches Messprinzip und ermöglicht die Auflösung der untersuchten Oberfläche im einstelligen Nanometerbereich. Eine Vielzahl von Bestrahlungstests wurde durchgeführt, um die Krümmungsänderung der Spiegel in Abhängigkeit verschiedener Bestrahlungsparameter zu untersuchen. Der größte Effekt wurde bei der Variation der Laserpulsenergie (im Bereich 10⁻⁵J/cm²-10⁻²J/cm²) beobachtet. Hierbei hat sich gezeigt, das erste Änderungen der Krümmung bei Werten von 10⁻⁵J/cm² detektiert wurden. Bei höheren Pulsenergien können zwei typische Verhaltensweisen unterschieden werden. Bis zu 10⁻³J/cm² zeigen die Spiegel eine permanente konkave Krümmungsänderung von λ/100. Die weitere Erhöhung der Pulsenergie führt jedoch zu einer Änderung in entgegengesetzter Richtung (konvex). Diese summiert sich innerhalb einiger 10 Millionen Pulse zu Werten von λ/10 auf. Außerdem zeigt diese konvexe Krümmungsänderung eine teilweise Relaxation nach Beendigung der Bestrahlung. Ein weiterer Aspekt der Arbeit war die Bestimmung möglicher laserinduzierter Alterung des Spiegelmaterials. Zu diesem Zweck sind bestrahlte und unbestrahlte Spiegel mittels verschiedener analytischer Techniken untersucht worden. Hierzu gehörten die Rasterkraftmikroskopie (AFM), die Reflektometrie und die Transmissionselektronenmikroskopie (TEM). Die AFM Messungen zeigten eine geringfügige Erhöhung der Oberflächenrauheit und eine richtungsabhängige Änderung der Korngröße. Die TEM Analysen haben gezeigt, dass sowohl Anordnung und Form der Körner keine deutliche Änderung aufweisen. Jedoch wurde bei bestrahlten Proben eine porösen Oxidschicht von bis zu 20nm auf der oberen Spiegelfläche detektiert. Abschließend wurden verschiedene Hypothesen aufgestellt, um die Mechanismen der beobachteten konkaven und konvexen Krümmungsänderung zu erklären. In diesem Zusammenhang wird angenommen, dass Spiegel bei Pulsenergien oberhalb 10⁻³J/cm² an sich keine statische Verkrümmung aufweisen. Vieles spricht für eine dynamische Änderung (Oszillation) der Krümmung mit der Laserwiederholrate. KW - SLM KW - Micro mirror array KW - MOEMS KW - Laser exposure KW - In situ KW - Kippspiegel KW - Aluminium KW - Ultraviolett-Bestrahlung KW - Laser KW - In situ KW - Mikrospiegel KW - Laserbestrahlung KW - MOEMS KW - SLM Y1 - 2014 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-31497 ER - TY - THES A1 - Lupina, Grzegorz T1 - Praseodymium Silicate high-k dielectrics on Si(001) T1 - Praseodym Silikat dielektrische Schichten auf Si(001) N2 - Aggressive scaling of the complementary metal-oxide-semiconductor (CMOS) transistors resulted in the silicon dioxide (SiO2) gate dielectrics being as thin as 1.2 nm in the state-of-the-art high performance transistors. In consequence, the leakage current due to direct tunneling of electrons through the gate oxide increased significantly resulting in an unacceptably high level of power dissipation. For this reason, it is very desirable to replace the SiO2 gate dielectric with an insulator of a higher dielectric constant (high-k). The higher dielectric constant allows for the use of physically thicker dielectric layers with high capacitance densities but strongly reduced tunneling currents. This work focuses on the preparation and characterization of Praseodymium silicate high-k dielectric layers on Si(001) and evaluates the potential of this material to replace SiO2 as a gate dielectric in the state-of-the-art and future CMOS technology generations. N2 - Im Zuge der zunehmenden Miniaturisierung von CMOS Transistoren wurde die Dicke der SiO2-Gate-Isolatoren in heutigen hochintegrierten Schaltungen bis zu 1.2 nm reduziert. In diesen Dimensionen steigt der Tunnelstrom von Elektronen über die dielektrische Barriere sehr stark an und führt zu einem unakzeptablen Energieverbrauch. Deshalb wird der Ersatz von SiO2 durch andere Materialien mit höheren Dielektrizitätskonstanten (hoch-k) angestrebt. Die höhere Dielektrizitätskonstante lässt eine größere physikalische Schichtdicke von Gate-Isolatoren zu, die den Tunnelstrom reduziert und dadurch eine weitere Miniaturisierung ermöglichst. Die vorliegende Arbeit befasst sich mit der Präparation und Charakterisierung von dielektrischen Praseodym Silikat-Schichten auf Si(100), und evaluiert das Potenzial dieses Materiales als Ersatz für SiO2 Gate-Dielektrika sowohl in heutigen als auch in zukünftigen Transistor Generationen. KW - High-k dielectrics KW - Praseodymium silicate KW - XPS Y1 - 2006 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-421 ER - TY - THES A1 - Städter, Matthias T1 - Untersuchungen zu Fanoresonanzen in der resonanten Photoelektronenspektroskopie T1 - Fanoresonances in the resonant photoelectron spectroscopy N2 - Im Mittelpunkt der vorliegenden Arbeit stehen die Untersuchung von Fanointerferenzen innerhalb der wissenschaftlichen Methode der resonanten Photoelektronenspektroskopie. Basis dieser Methode ist die Interpretation aus dem Festkörper emittierter Elektronen. Die detektierten Elektronen können dabei aus direkten Photoemissionen oder Deaktivierungsprozessen (Spectator-/Participator-Zerfälle) nach resonanten Anregungen von Rumpfelektronen stammen. Führen beide Prozesse zum selben Systemendzustand, können die emittierten Elektronen interferieren, was sich in der Ausprägung eines charakteristischen Intensitätsprofils, dem Fano-Profil, äußert. Die Form des Intensitätsprofils kann dabei über den Fanoparameter q beschrieben werden. Im Rahmen dieser Arbeit erfolgte die Diskussion von Fanointerferenzen an organischen (HOPG, Graphenflocken) und oxidischen (CuO, In2O3, SiO2) Materialsystemen. Im HOPG und den untersuchten Graphenflocken konnten mittels der Analyse der Fanoprofile exzitonische Zustände innerhalb der Bandlücke nachgewiesen werden. Durch die Untersuchungen am CuO konnte die Variation des Fanoparameters in Abhängigkeit vom Grundzustand des Systems gezeigt werden. Die für die untersuchten In2O3 Einristalle beobachteten Interferenzen beruhen auf der Existenz besetzter Zustände an der Fermienergie. Abschließend konnte eine Korrelation des jeweils auftretenden Fanoparameters mit den beobachteten multi-hole-Auger-Zerfällen nachgewiesen werden. N2 - In the framework of this thesis Fano-interferences within the scientific method of resonant photoemission spectroscopy are discussed. In photoemission spectroscopy electrons, emitted from the sample, are investigated. These emitted electrons can arise from different processes (direct photoemission, spectator/participator decays) within the deactivation of the sample after photon excitation. If more than one decay process leads to the same final state, the emitted electrons can interfere. This will, depending on the photon energy, result in a characteristic profile (Fano-profile) of the detected electron yield. The shape of these profile can be described by one parameter, the Fano-parameter q. In this thesis Fano profiles in organic (HOPG, Graphene-flakes) and oxidic (CuO, In2O3, SiO2) systems are discussed. From the analysis of the Fano profile in the organic systems the existence of excitonic states within the bandgap of the materials have been confirmed. For CuO a dependence of the Fano-profile from the ground state of the material is discussed. The investigation of In2O3 single crystals revealed the existence of filled states close to the Fermi energy in this material. Finally a correlation between the observed Fano parameters and the appearance of multi hole Auger decays was proven. KW - Fano KW - Fanointerferenzen KW - Festkörperphysik KW - In-gap Zustände KW - Resonante Photoelektronenspektroskopie KW - Fano-Effekt KW - Photoelektronenspektroskopie Y1 - 2014 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-31860 ER - TY - THES A1 - Schulz, Detlev T1 - Wachstumsphänomene und Stickstoffdotierung bei der Sublimationszüchtung von 6H-Siliciumcarbid N2 - Die Keimoberfläche ist durch das Vorhandensein einer Störschicht charakterisiert, die durch die mechanische Kristallbearbeitung hervorgerufen wird. Diese Schicht kann die Ursache für zusätzliche Defekte sein. Die Untersuchungen der Oberflächenmorphologie zeigen, daß ein Überschuß an Silicium im Quellmaterial notwendig ist, um ein defektfreies Wachstum in den ersten Stadien zu ermöglichen. Sowohl ein Oxidationsschritt vor dem Wachstum als auch die Anwendung von fehlorientierten Keimen führten nicht zum gewünschten Stufenfluß. Im Konzentrationsbereich von 1x10^18 <= c_N (cm^-3) <= 3x10^19 wurden 6H-SiC-Einkristalle gezüchtet, sowohl auf (0001)- als auch (000-1)-Keimen. Der Einbau von Stickstoff bewirkt das Absinken der Wachstumsgeschwindigkeit, was mit dem ''site-competition''-Modell erklärt werden kann. Die Sättigung der Stickstoffkonzentration im Kristall in Abhängigkeit vom N_2/Ar-Verhältnis konnte durch eine Langmuir-Isotherme beschrieben werden. Die Polarität der Keimoberfläche beeinflußt den Stickstoffeinbau. Die Stickstoffkonzentration im gewachsenen Kristall ist auf der C-terminierten Fläche ca. doppelt so hoch wie auf der Si-terminierten. Es wurde gezeigt, daß die Oberflächenmorphologie zusätzlich zur Keimpolarität den Stickstoffeinbau beeinflußt. N2 - Normally, seed surfaces exhibit a surface damage layer caused by the wafer preparation. From this layer additional defects may originate. Investigating the crystal surfaces it could be shown, that only the use of excess silicon in the source material lead to a defect-free growth. Under the present thermodynamic conditions neither a subsequent oxidation of the polished seed nor employing off-oriented substrates prevented the defect formation. In the concentration range of 1x10^18 <= c_N (cm^-3) <=3x10^19 nitrogen doped 6H-SiC single crystals were grown on (0001)- or (000-1)-seeds respectively. In case of nitrogen doping a decrease of the growth rate due to site-competition was observed. The saturation of the nitrogen concentration in the crystal in dependence of the N_2/Ar ratio could be well described by Langmuir's adsorption theory. An influence of the growth face polarity on the nitrogen incorporation was found. The concentration in crystals grown on the C-terminated face is about twice that grown on the Si-terminated face. On the basis of the observed difference in the surface morphology it is assumed, that the growth mechanism influences the nitrogen incorporation additionally to the polarity. KW - Siliciumcarbid KW - Polytypie KW - Kristallzüchtung KW - Stickstoff KW - Dotierung KW - Siliciumcarbid KW - 6H-Polytyp KW - Einkristallzüchtung KW - Stickstoffeinbau KW - Oberflächenmorphologie Y1 - 2001 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-000000044 ER - TY - THES A1 - Mandal, Dipankar T1 - Ultra-thin films of a ferroelectric copolymer: P(VDF-TrFE) T1 - Ultra-dünne Schichten des ferroelektrischen Polymers P(VDF-TrFE) N2 - Spin-cast films of the ferroelectric copolymer P(VDF-TrFE) are attractive for various applications. For such films the question arises whether there exists a depending on film thickness of ferroelectric functionality. In this work, ultra-thin films of P(VDF-TrFE) up to 0.35nm of thickness have been successfully spin coated, which is quite promising in respect of low cost approach in the electronic industry. This thesis focuses on the preparation of the ultra-thin P(VDF-TrFE) copolymer film and its characterizations to find out a scientific guideline for the suitable application as a non-volatile memory element. Therefore, the ultra-thin film preparations have been investigated initially. Optimization of annealing parameters has been done to get the ferroelectric beta phase and thickness determination is also done carefully. The copolymer layer thickness could be determined down to about 0.35 nm. Photoelectron spectroscopy is used extensively for the characterization of the thin film. Eventually, longer time X-ray irradiation of the P(VDF-TrFE) sample may cause a phase change from ferroelectric to paraelectric. Therefore the X-ray irradiation time was also optimized. With photoelectron spectroscopy, the interface chemistry of the P(VDF-TrFE) copolymer and different electrode materials was studied. The interfaces aluminum/P(VDF-TrFE) and PEDOT:PSS/P(VDF-TrFE) are compared. PEDOT:PSS is a conducting polymer, Poly(3,4-ethylenedioxidethiophene): poly(styrenesulfonate). This data suggested that an interface layer is formed for electrodes, made of aluminum. An interface reaction occurs in both cases: for aluminum as top and as bottom electrode. In contract, the organic PEDOT:PSS electrode shows no chemical interaction with the P(VDF-TrFE) copolymer. The much lower reactivity of organic electrode, compare to aluminum, gives a direct hint to improved functional properties of thin organic ferroelectric films. In terms of a low cost approach for electronics, based on organic devices, the introduction of organic non volatile memories is of great importance. P(VDF-TrFE) copolymer is the material with a very hopeful perspective. In next part electrical measurements with P(VDF-TrFE) have been done. By capacitance voltage measurements, the ferroelectric behavior of the polymer by measurements at elevated temperatures (Curie-Point) is confirmed, a threshold for remanent poalrization for films below 100 nm is found, if aluminum electrodes are used, but with inert electrodes, a downscaling of a low coercitive field was possible down to ten nm. This is very important, because due to the high coercitive field of the copolymer (>50 MV/m), ultrathin films for low operation voltages are needed. A prerequisite for memory applications is a high retention time, this was also confirmed. By the help of Near edge X-ray Absorption Spectroscopy (NEXAFS) the possible ferroelectric dipole orientation have been also investigated. The average dipole orientation (perpendicular to the substrate) is observed up to 0.35 nm P(VDF-TrFE) copolymer films when PEDOT:PSS/Si substrate is used. The ferroelectric properties of ultrathin films down to a layer thickness of 10nm were characterized using spectroscopic (F1s NEXAFS) and electrical methods (Capacitance voltage). The results indicates an extrinsic switching mechanism with a much lower opera-tion voltage than for a collective intrinsic switching. Both independent methods agree that there is no critical thickness for spincoated copolymer films down to 10 nm, if an adapted system of electrodes is used. N2 - Dünne durch Spin Coating abgeschiedene Filme des ferroelektrischen Copolymers P(VDF-TrFE) sind attraktiv für viele Anwendungen. Für diese Filme stellt sich die Frage, ob eine Abhängigkeit der ferroelektrischen Funktionalität von der Schichtdicke des ferroelektrischen Materials existiert. In dieser Arbeit wurden erfolgreich ultradünne P(VDF-TrFE)-Schichten herunter bis zu einer Dicke von 0.35 nm abgeschieden, was als sehr aussichtsreich für „low-cost“-Ansätze in der elektronischen Industrie anzusehen ist. Diese Arbeit fokussiert auf die Präparation und Charakterisierung von ultradünnen P(VDF-TrFE)-Schichten, um eine wissenschaftliche Orientierung für mögliche nichtflüchtige Speicheranwendungen zu erarbeiten. Anfangs wird zunächst die Präparation dargestellt. Dabei wird speziell auf die Optimierung der Temperparameter eingegangen. Die Bestimmung der Schichtdicke des Copolymers kann sehr präzise für die untersuchten ultradünnen Schichten durchgeführt werden. Ausführlich wurde in der Arbeit die Charakterisierung der Filme mit der Photoelektronenspektroskopie durchgeführt. Dabei wurde zusätzlich festgestellt, dass für längere Röntgenstrahlungsexpositionszeiten der Filme eine Phasenumwandlung von der ferroelektrischen zur paraelektrischen Phase stattfinden kann. Deshalb wurde die Bestrahlungszeit hinsichtlich der unverfälschten Auswertbarkeit der spektroskopischen Ergebnisse optimiert. Mit der Photoelektronenspektroskopie wurde die Grenzflächenchemie zwischen P(VDF-TrFE) und verschiedenen Elektrodenmaterialien untersucht. Die Grenzflächen von Aluminium/P(VDF-TrFE) und PEDOT:PSS/P(VDF-TrFE) wurden verglichen. PEDOT:PSS (Poly(3,4-Ethylendioxithioph):Poly(Styrensulfonat) ist ein leitfähiges Polymer. Die Daten zeigen, dass sich eine Grenzflächenschicht bei Aluminiumelektroden bildet, eine Grenzflächenreaktion zeigt sich sowohl für Top- als auch für Bottomelektroden. Im Gegensatz dazu zeigt das organische PEDOT:PSS keine chemische Wechselwirkung mit dem P(VDF-TrFE). Dies zeigt eine verbesserte Funktionalität von dünnen organischen ferroelektrischen Filmen. Für “low-cost”- Ansätze der Elektronik, die auf organischen Bauelementen basiert, ist die Einführung von organischen nichtflüchtigen Speichern von großer Bedeutung. P(VDF-TrFE) Copolymer ist ein Material mit einer sehr hoffnungsvollen Perspektive. Ergebnisse elektrischer Messungen an P(VDF-TrFE)-Schichten werden in einem weiteren Abschnitt dieser Arbeit dargestellt. Mit Kapazitäts-Spannungsmessungen (CV) bei erhöhten Temperaturen wird der Curie-Punkt untersucht. Bei der Benutzung von Aluminiumelektroden wird eine Abnahme der Polarisation für Schichten kleiner 100 nm gefunden. Mit inerten Elektroden war eine Herunterskalierung bis zu 10nm mit einem kleinen Koerzitivfeld möglich. Das ist wichtig, da das relativ hohe Koerzitivfeld des P(VDF-TrFE) (>50 MV/m) eine ultradünne Schichtdicke für geringe Betriebsspannungen erfordert. Eine Voraussetzung für Speicheranwendungen ist eine hohe Retentionszeit, dies wurde auch gezeigt. Die ferroelektrische Dipolorientierung wurde mit NEXAFS-Untersuchungen (Near edge X-ray Absorption Spectroscopy) untersucht. Bei Benutzung eines PEDOT:PSS-Substrates konnte auch für 0.35 nm dicke P(VDF-TrFE)-Filme eine durchschnittliche Dipolorientierung senkrecht zur Substratoberfläche) nachgewiesen werden. Die ferroelekrischen Eigenschaften wurden für Filme bis zu 10 nm mit spektroskopischen (F1s NEXAFS) und elektrischen (CV) Methoden untersucht. Die Resultate zeigen, dass ein extrinsischer Schaltmechanismus vorliegt, der bei einer wesentlich kleineren Spannung als beim kollektiven intrinsischen Effekt stattfindet. Beide unabhängigen Methoden zeigen, dass keine kritische Dicke für Spincoating-Filme bis herunter zu 10 nm gefunden wird, wenn adaptierte Elektroden benutz werden. KW - Grenzflächenchemie KW - Photoelektronenspektroskopie KW - Polymerfilm KW - Ultradünne Filme KW - Dipolorientierung KW - Nichtflüchtige Speicher KW - P(VDF/TrFE) Copolymer KW - Ultra-thin film KW - Dipole orientation KW - Non volatile memory application KW - P(VDF/TrFE) copolymer Y1 - 2008 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-5628 ER - TY - THES A1 - Dudek, Piotr T1 - Atomic scale engineering of HfO2–based dielectrics for future DRAM applications T1 - Engineering auf atomarer Skala von HfO2-basierten Dielektrika für künftige DRAM Anwendung N2 - Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba added HfO2 (BaHfO3) films and Ti added BaHfO3 (BaHf0.5Ti0.5O3) layers are promising candidates for future generation of state of the art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO3 (k~38) and BaHf0.5Ti0.5O3 (k~90) with respect to monoclinic HfO2 (k~19). Meanwhile the CET values scaled down to 1 nm for BaHfO3 and ~0.8 nm for BaHf0.5Ti0.5O3 with respect to HfO2 (CET=1.5 nm). The Hf4+ ions substitution in BaHfO3 by Ti4+ ions led to a significant decrease of thermal budget from 900°C for BaHfO3 to 700°C for BaHf0.5Ti0.5O3. Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. N2 - Moderne Dielektrika in Verbindung mit geeigneten Metallelektroden haben großes Potential um viele Schwierigkeiten mit dem voranschreitenden Minituarisierungsprozess in der Mikroelektronik zu lösen. Ein wesentlicher Bestandteil der Mikroelektronik beinhaltet die Entwicklung von random access memory (DRAM). Diese begann vor über 35 Jahren mit Speichergrößen von 4kb, welche mittlerweile in den Bereich von mehreren Gigabytes vorangetrieben wurde. Daraus resultierte eine zunehmende Reduzierung der dieelektrischen Materialdicken, welche zu höheren Leckstromdichten und so zu einem erhöhten Leistungsverbrauch führte. Als mögliche Lösung werden alternative Dielektrika mit verbesserten elektrischen und materialspezifischen Eigenschaften von vielen Forschungsgruppen intensiv untersucht. Materialien mit höheren dielektrischen Konstanten ermöglichen die Verwendung von höheren Schichtdicken mit hohen Kapazitäten, jedoch mit vergleichsweise verringerten Leckstromdichten. Die vorliegende Arbeit beschäftigt sich mit der Deposition und Charakterisierung von dünnen isolierenden Schichten. Die Werkstoffkunde basierte auf dünnen HfO2 – Schichten die auf TiN – Metallelektroden abgeschieden wurden. Untersuchungen der materialspezifischen und dielektrischen Eigenschaften haben gezeigt, dass Ba-dotierte HfO2 Schichten (BaHfO3) und Ti-dotierte BaHfO3 Schichten (BaHf0.5Ti0.5O3) vielversprechende Kandidaten für die zukünftige Generation von DRAMs sind. Ein starkes Ansteigen der dielektrischen Permettivität konnte für dünne Schichten aus kubischem BaHfO3 (k~38) und BaHf0.5Ti0.5O3 (k~90) im Vergleich zu monoklinen HfO2 (k~19) erreicht werden. Mittlerweile sanken die CET-Werte bis auf 1 nm für BaHfO3 und ~0.8 nm für BaHf0.5Ti0.5O3 im Vergleich zu HfO2 (CET=1.5 nm). Die Substitution der Hf4+ - Ionen durch Ti4+ - Ionen in BaHfO3 führte zu einer signifikaten Absenkung des thermischen Budgets von 900°C für BaHfO3 zu 700°C für BaHf0.5Ti0.5O3. Zukünftige Untersuchungen haben zur Aufgabe die Leckstromkontrolle über passende Metallelektroden (höhere Austrittsarbeit) und einen Depositionsprozess mit verbesserter Homogenität zu verbessern. KW - Mikroelektronik KW - Miniaturisierung KW - Dielektrikum KW - Elektrode KW - Metall KW - Dielektrika KW - Hoch-k Materialien KW - Untersuchung auf atomarer Skala KW - Dielectrics KW - High-k materials KW - Atomic Scale Engineering KW - HfO2-based materials KW - XPS Y1 - 2011 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-22775 ER - TY - THES A1 - Karavaev, Konstantin T1 - In-situ Atomic Layer Deposition growth of Hf-oxide T1 - In-situ Atomlagenabscheidung von Hafniumoxid N2 - We have grown HfO2 on Si(001) by atomic layer deposition (ALD) using HfCl4, TEMAHf, TDMAHf and H2O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and x-ray absorption spectroscopy. We observed the changes occurring in the Si2p, O1s, Hf4f, Hf4d, and Cl2p (for HfCl4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO2. The investigation was carried out in-situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages of the in-situ approach in comparison to ex-situ experiments. The study provides to follow the evolution of the important properties of HfO2: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that the in-situ XPS approach for ALD gives much more information than ex-situ experiments. N2 - Die Atomlagenabscheidung (atomic layer deposition: ALD) wurde benutzt, um HfO2 auf Si(001) abzuscheiden, wobei HfCl4, TEMAHf, TDMAHf und H2O als Prekursoren zum Einsatz kamen. Das frühe Wachstumsstadium der ALD-Schichten wurde mittels hochaufgelöster Photoelektronen- und Röntgenabsorptionsspektroskopie analysiert. Die Änderungen der Si2p-, O1s-, Hf4f-, Hf4d- und Cl2p- (für HfCl4-Prekursor) Rumpfniveaus nach jedem ALD-Zyklus bis zur vollständigen Bildung von zwei HfO2-Lagen wurden verfolgt. Die Untersuchungen erfolgten in-situ, was eine Charakterisierung nach jedem ALD-Zyklus oder auch nach jedem Halbzyklus ermöglichte. Diese Arbeit fokussiert auf die Vorteile des in situ-Ansatzes im Vergleich zu ex situ-Methoden. Diese Studie liefert Daten über wichtige Eigenschaften von HfO2 und deren Veränderungen während des Schichtwachstums. Dazu zählen Verunreinigungen, Dichte, Stöchiometrie sowie der Einfluss der experimentellen Parameter auf eine Grenzflächenschichtbildung während der ALD. Unsere Ergebnisse zeigen, dass der in-situ-XPS-Ansatz deutlich mehr Informationen als ex-siut-Experimente liefert. KW - Atomlagenabscheidung KW - Atomlagenabscheidung KW - ALD KW - Röntgen-Photoelektronenspektroskopie KW - XPS KW - HfO2 KW - Atomic layer deposition KW - ALD KW - X-ray photoelectron spectroscopy KW - XPS KW - HfO2 Y1 - 2011 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-22617 ER - TY - THES A1 - Handick, Evelyn T1 - Surface and interface characterization by X-ray and electron spectroscopies - revealing the peculiarities of Cu(In,Ga)Se₂ chalcopyrite and CH₃NH₃PbI(₃-ᵪ)Clᵪ perovskite-based thin film solar cell structures T1 - Oberflächen- und Grenzflächencharakterisierung mittels Röntgen- und Elektronenspektroskopie - Bestimmung der Besonderheiten von Cu(In,Ga)Se₂ Chalkopyrit- und CH₃NH₃PbI(₃-ᵪ)Clᵪ Perowskit-basierten Dünnschichtsolarzellenstrukturen N2 - This thesis focuses on the investigation and characterization of the surfaces and interfaces of chalcopyrite-based Cu(In,Ga)Se₂ (CIGSe) and organo-metal mixed halide perovskites, specifically CH₃NH₃PbI(₃-ᵪ)Clᵪ thin film solar cell absorbers using various x-ray and electron spectroscopies. In particular, the impact of alkali treatments on the chemical and electronic surface and near-surface structure of CIGSe absorbers is studied. For CH₃NH₃PbI(₃-ᵪ)Clᵪ the compound formation is monitored and the peculiarities of the interface formation of CH₃NH₃PbI(₃-ᵪ)Clᵪ on compact and mesoporous TiO₂ are examined. Laboratory and synchrotron-based photoelectron spectroscopy are used to gain a depth-dependent picture of the chemical and electronic structure in the surface and near-surface region of CIGSe absorbers, focusing on the influence of NaF and NaF/KF post-deposition treatments (PDT) when compared to alkali-free CIGSe absorbers. The alkali-free and NaF-PDT absorbers show similar chemical properties, having a Cu and Ga poor surface region compared to the nominal bulk and the same chemical environment for indium and selenium. For the NaF/KF-PDT samples a K-In-Se compound is present on top of a Cu-In-Ga-Se compound, with a nanopatterned surface that is almost devoid of Cu and Ga. Further, for the NaF-PDT sample a near-surface electronic band gap of 1.61[+0.14/-0.51] eV is derived. In contrast, a large and more gradual change towards the surface is obtained for the NaF/KF-PDT absorber exhibiting a significant band gap widening of the surface, which is in agreement with a Cu- and Ga-devoid surface region and the formation of a K-In-Se surface compound. To in-situ monitor the compound formation of CH₃NH₃PbI(₃-ᵪ)Clᵪ on compact TiO₂ (c-TiO₂) hard x-ray photoelectron spectroscopy is used. During in-situ annealing a drop-casted layer of precursor solution on c-TiO₂ shifts in the perovskite related core levels can be observed upon reach the “transition temperature” of 80-100°C. Further the Cl signal decrease at the onset of annealing and shows a depletion in the surface region for annealing temperatures above 50°C. In addition, the chemical and electronic structure of the interface between perovskite and mesoporous TiO₂ (mp-TiO₂) or c-TiO₂ using different perovskite layer thicknesses are studied. N2 - Die vorliegende Arbeit befasst sich mit der Untersuchung und Charakterisierung der Ober- und Grenzflächen von Chalkopyrit-basierten Cu(In,Ga)Se₂ (CIGSe) und gemischten organisch-metallischen Halogenid Perowskit CH₃NH₃PbI(₃-ᵪ)Clᵪ Dünn-schichtsolarzellen mittels verschiedener Röntgen- und Elektronenspektroskopieme-thoden. Insbesondere wird der Einfluss von Alkalinachbehandlungen auf die chemische und elektronische Oberflächen- und Oberflächennahe-Struktur von CIGSe Absorbern untersucht. Für CH₃NH₃PbI(₃-ᵪ)Clᵪ wird die Kristallisation der Verbindung beobachtet und die Eigenschaften der Grenzfläche zwischen CH₃NH₃PbI(₃-ᵪ)Clᵪ und kompaktem und mesoporösem TiO₂ ermittelt. Labor- und Synchrotron-basierte Photoelektronenspektroskopien werden angewendet um ein tiefenabhängiges Bild der chemischen Struktur der Oberfläche und nahe der Oberfläche von CIGSe Strukturen zu erhalten. Vor allem der Einfluss von NaF und NaF/KF Nachbehandlungen (PDT) im Vergleich zu alkalifreien CIGSe Absorbern wird untersucht. Die alkalifreien und NaF-PDT Absorber weisen ähnliche chemische Oberflächeneigenschaften auf. Es wird eine Cu- und Ga-verarmte Oberflächenregion mit ähnlicher chemischen Umgebung für Indium und Selen detektiert. Die NaF/KF-PDT Proben weisen eine K-In-Se Oberflächenphase auf einer Cu-In-Ga-Se Verbindung mit einer nanostrukturierten Oberfläche auf. Die NaF/KF-PDT Absorberoberfläche ist nahezu frei von Cu und Ga. Weiter kann eine elektronische Bandlücke in Oberflächennähe von 1.61[+0.14/-0.51] eV für die NaF-PDT Probe bestimmt werden. Im Gegensatz dazu zeigt der NaF/KF-PDT Absorber eine größere und eher graduellere Veränderung in Richtung Oberfläche mit einer signifikanten Erweiterung der Oberflächenbandlücke. Dies kann der Ausbildung der K-In-Se Oberflächenverbindung auf einer Cu- und Ga-verarmten Absorberregion zuge¬schrieben werden. Photoelektronenspektroskopie mit harten Röntgenstrahlen wird verwendet, um die Kristallisation der CH₃NH₃PbI(₃-x)Clx Verbindung auf kompaktem TiO₂ (c-TiO₂) in-situ zu beobachten. Während des Heizvorgangs einer aufgetropften Schicht der Ausgangslösung auf c-TiO₂ wird eine Verschiebung der Perowskit-Rumpfniveaus während der Übergangstemperatur von 80-100°C sichtbar. Außerdem ist eine Abschwächung des Chlor-Signals zu Beginn des Heizvorgangs zu beobachten mit einer Verarmung in der Oberflächenregion bei Temperaturen über 50°C. Weiter wird die chemische und elektronische Struktur von Perowskit verschiedener Schichtdicke auf mesoporösem TiO₂ (mp-TiO₂) und c-TiO₂ untersucht. Mit steigender Perowskitschichtdicke zeigt sich ein Anwachsen der Perowskitlinien während die Substratlinien abgeschwächt werden. KW - Chalcopyrit KW - Perovskite KW - Photoelectron spectroscopy KW - Thin film solar cell KW - Chalkopyrite KW - Perowskite KW - Photoelektronenspektroskopie KW - Dünnschichtsolarzelle KW - Kupferkies KW - Perowskit KW - Photoelektronenspektroskopie KW - Dünnschichtsolarzelle Y1 - 2016 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-42431 ER - TY - THES A1 - Rachow, Fabian T1 - Prozessoptimierung für die Methanisierung von CO₂ – vom Labor zum Technikum T1 - Process optimisation for the Methanation of CO₂ – from a laboratory to an industrial scale N2 - In dieser Arbeit konnte gezeigt werden, dass eine direkte Methanisierung von CO₂, die über die Sabatier-Gleichung, CO₂ + 4H₂ ↔ CH₄ + 2H₂O, beschrieben wird, im Labor- und im Technikums-Maßstab unter Variation verschiedenster Bedingungen realisierbar ist. Die Sabatier-Reaktion findet beschleunigt unter dem Einsatz von Katalysatoren statt. Unterschiedliche kommerzielle Katalysatoren auf Nickel und Ruthenium-Basis konnten auf ihrer Eignung für die Methanisierung untersucht werden und mit im Labor präparierte Katalysatoren verglichen werden. Relevante Größen zur Beschreibung der Aktivität der Katalysatoren ist der Umsatz an CO₂, die Ausbeute an CH₄ und die Selektivität bezüglich der Sabatier-Reaktion. Im Labor wurden Umsätze und Ausbeuten von über 90% und Selektivitäten von nahezu 100% gemessen. Durch eine Änderung der Temperatur, der Eingangsmenge an Gasen, der Katalysatormenge und des Druckes können die optimalen Prozessbedingungen für die Reaktion spezifiziert werden. Eine weitere Betrachtung galt der Messung mit synthetischen und realen Abgasen (Oxyfuel, CCS) und darauf bezogen, der Einfluss einer Verdünnung des CO₂ durch Stickstoff und Sauerstoff und der Rolle von bekannten Katalysatorgiften wie Schwefel- oder Stickoxiden. Es konnte ein Zusammenhang zwischen der Stärke der Verunreinigung an Schwefel, der Reaktortemperatur und der Abnahme der katalytischen Aktivität ermittelt werden. Die Produktion von Kohlenmonoxid gibt zusätzlich Aufschluss über stattfinden Teil-und Nebenreaktionen. Ergebnisse in der Laboranlage konnten zum Teil für den Aufbau einer Technikumsanlage, welche eine Vergrößerung zum Labor um den Faktor 5000 darstellt, genutzt werden. Eine Zahl von Experimenten wurde im Technikum wiederholt. Die Technikumsanlage ist dabei in der Lage ca. 250 kg CO₂ pro Tag aus CO₂-haltigen Abgasen in Methan umzuwandeln. Ein erweiterter Praxisbezug stellte die Einbindung des Technikums in einem Kraftwerk dar und die Messung mit realem Rauchgas. Ohne zusätzliche Reinigungsschritte des Abgases konnten auch hier Umsätze von 90% erreicht werden. Eine komplexe Temperaturentwicklung und Erhöhung auf 600°C im Reaktor wurde aufgezeichnet und führt dabei zur Abnahme des Umsatzes auf ca. 60%. Bei diesen Temperaturen stellt sich ein Gleichgewicht zwischen, durch die exotherme Reaktion, erzeugter und abgeführter Wärme ein. Als Reaktionsprodukt wird ein Schwachgas erhalten, welches für die Rückverstromung eingesetzt werden kann. In der Methanisierung von CO₂ besteht die Möglichkeit das CO₂ in einen Kreislauf (Power-to-Gas) zu binden und so die Emission von Treibhausgasen zu mindern. Das erzeugte Methan fungiert als chemischer Energiespeicher und trägt zur Stabilisierung des Stromnetzes bei. N2 - It could be shown, that the direct methanation of CO₂, as described by the Sabatier-reaction, CO₂ + 4H₂ ↔ CH₄ + 2H₂O, can be done in laboratory and in an industrial scale under a variation of different process parameters. The Sabatier reaction is accelerated by catalysts. Different commercially available nickel and ruthenium-based catalysts were reviewed for their use in methanation and compared with self-prepared catalysts. Relevant parameters for the activity of a catalyst are the conversion of CO₂, the yield of CH₄ and the selectivity regarding the Sabatier-reaction. A conversion and yield of above 90% and a selectivity of nearly 100% were measured in the laboratory-set-up. Optimal parameters for the process were studied by variation of temperature, quantity of the reactants, amount of catalyst and pressure inside the reactor. An additional approach was the reaction with synthetic and real flue gases (Oxyfuel, CCS) and in this regard, a dilution of the CO₂ with nitrogen and oxygen and the role of known contaminations like sulfur and nitrogen oxides that can poison the catalyst. A relation between the amount of sulfur contamination, the temperature and the decrease in activity could be determined. It was possible to discern single reaction mechanism due to the formation of carbon monoxide during the reaction. Results in the laboratory have been used for the construction of a pilot-plant that represents an upscale of 5000 in gas quantity. A number of experiments were repeated in the pilot-plant. Here, it was possible to convert nearly 250kg/day CO₂ from flue gases to methane. The pilot plant was integrated into a power plant and therefore measurements with real flue gas could be conducted. Without additional cleaning of the flue gas, a conversion rate of up to 90% was achieved. A complex temperature distribution and an increase in the temperature of the reactor up to 600°C lead to a decrease in conversion to 60%. Equilibrium between the produced and removed heat is reached at those temperatures. The resulting gas has a low energy density and is classified as a lean gas that could be used for a reconversion to electric power. The methanation of CO₂ represents a possibility to store the CO₂ into a loop of conversion and reconversion of energy (Power-to-Gas) and therefore it is possible to reduce the emission of greenhouse gases. The produced methane is a chemical energy storage and contributes to the stabilization of the electric grid. KW - Katalyse KW - Methanisierung KW - Energiespeicher KW - Erneuerbare Energien KW - CO2-Nutzung KW - Catalysis KW - Methanation KW - Energy storage KW - Renewable energy KW - Carbon capture and storage (CCS) KW - Methanisierung KW - Katalysator KW - Carbon dioxide capture and utilization KW - Energiespeicher KW - Power-to-Gas Y1 - 2017 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-42625 ER - TY - THES A1 - Meyer, Moritz Andreas T1 - Effects of advanced process approaches on electromigration degradation of Cu on-chip interconnects T1 - Einfluss fortgeschrittener Herstellungsvarianten auf die durch Elektromigration hervorgerufene Degradation von Kupferleiterbahnen N2 - This thesis provides a methodology for the investigation of electromigration (EM) in Cu-based interconnects. An experimental framework based on in-situ scanning electron microscopy (SEM) investigations was developed for that purpose. It is capable to visualize the EM-induced void formation and evolution in multi-level test structures in real time. Different types of interconnects were investigated. Furthermore, stressed and unstressed samples were studied applying advanced physical analysis techniques in order to obtain additional information about the microstructure of the interconnects as well as interfaces and grain boundaries. These data were correlated to the observed degradation phenomena. Correlations of the experimental results to recently established theoretical models were highlighted. Three types of Cu-based interconnects were studied. Pure Cu interconnects were compared to Al-alloyed (CuAl) and CoWP-coated interconnects. The latter two represent potential approaches that address EM-related reliability concerns. It was found that in such interconnects the dominant diffusion path is no longer the Cu/capping layer interface for interconnects as in pure Cu interconnects. Instead, void nucleation occurs at the bottom Cu/barrier interface with significant effects from grain boundaries. Moreover, the in-situ investigations revealed that the initial void nucleation does not occur at the cathode end of the lines but several micrometers away from it. The mean times-to-failure of CuAl and CoWP-coated interconnects were increased by at least one order of magnitude compared to Cu interconnects. The improvements were attributed to the presence of foreign metal atoms at the Cu/capping layer interface. Post-mortem EBSD investigations were used to reveal the microstructure of the tested samples. The data were correlated to the in-situ observations. N2 - In dieser Arbeit wird eine Methode zur direkten Beobachtung der Elektromigration (EM) in Cu-basierten Leitbahnen vorgestellt. Das experimentelle Verfahren basiert auf in-situ-Untersuchungen im Rasterelektronenmikroskop. Die Bildung und Weiterentwicklung von Hohlräumen in mehrlagigen Teststrukturen aufgrund von elektromigration kann in Echtzeit verfolgt werden. Unterschiedliche Leitbahntypen wurden auf diese Weise untersucht. Desweiteren wurden physikalische Analytikmethoden angewendet, um zusätzliche Informationen über das Gefüge und die Grenzflächen der Leitbahnen zu erhalten. Diese Informationen wurden mit den Beobachtungen aus den in-situ-Untersuchungen in Zusammenhang gebracht. Übereinstimmungen der experimentellen Ergebnisse mit aktuellen theoretischen Modellen wurden hervorgehoben. Drei Typen kupferbasierter Leitbahnen wurden untersucht. Herkömmliche Kupferleitbahnen wurden mit Aluminium-legierten (CuAl) und CoWP-beschichteten Leitbahnen verglichen. Die letzteren beiden Typen stellen potentielle Alternativen dar, die Zuverlässigkeit zukünftiger Leitbahnsysteme zu verbessern. Es zeigte sich, daß in solchen Leitbahnen nicht die obere Grenzfläche zur Passivierungsschicht den dominierenden Diffusionspfad bildet, wie das in herkömmlichen Leitbahnen der Fall ist. Statt dessen wurde die Hohlraumbildung an den Grenzflächen zur Diffusionbarriere beobachtet. Der Einfluß von Korngrenzen wurde nachgewiesen. Desweiteren zeigten die in-situ-Untersuchungen, daß sich die ersten Hohlräume nicht am Kathodenende der Leitbahnen bildeten, sondern einige Mikrometer davon entfernt. Die mittlere Lebensdauer von CuAl- und CoWP-beschichteten Leitbahnen war mindestens eine Größenordnung höher als die von Kupferleitbahnen. Die Verbesserungen wurden dem Vorhandensein von Fremdmetallatomen an der oberen Grenzfläche zur Passivierungsschicht zugeschrieben. Anhand von EBSD-Untersuchungen wurde das Gefüge der getesteten Leitbahnen analysiert. Die Ergebnisse wurden mit den in-situ-Untersuchungen in Zusammenhang gebracht. KW - Elektromigration KW - Elektromigration KW - Kupfer KW - Leiterbahn KW - In-situ KW - Gefüge KW - Electromigration KW - Cu interconnects KW - In-situ KW - Cu microstructure Y1 - 2007 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-3509 ER - TY - THES A1 - Michling, Marcel Frank T1 - Spektroskopische Untersuchungen an organischen Materialien und Metalloxiden T1 - Spectroscopic investigation of organic materials and metal oxides N2 - Im Rahmen der vorliegenden Arbeit wurde mit Synchrotron-basierenden, optischen und Elektronen-basierenden Methoden die elektronischen Eigenschaften zweier unterschiedlicher Materialklassen untersucht. Der Fokus lag dabei im besonderen auf der Bestimmung der Größe der Bandlücke/HOMO-LUMO-Lücke und ihre Abhängigkeit der verwendeten Methode. Die zwei unterschiedliche Materialklassen, welche untersucht wurden sind folgende: Erstens die organischen Systeme Cobalt-Phthalocyanin und Fulleren. An Ihnen wird der Einfluss der verwendeten Messmethoden aufgezeigt und die sich draus ergebenen HOMO- LUMO-Lücken (elektronisch, Volumen, Oberfläche, optisch) diskutiert. Es zeigt sich, dass die optische Methode (Ellipsometrie) generell den kleinsten Wert und die elektronischen Methoden (XPS, XAS) den größten Wert ergeben. Die Gründe dafür werden ausführlich diskutiert. Durch die Kombination von XPS- , XAS- und Ellipsometrie-Messungen war es möglich die Orientierung der aufgedampften CoPc-Moleküle auf den SiO2-Substrat zu bestimmen. Zweitens wurden die Metalloxide HfO2, Al2O3, In2O3 und Ga2O3 aufgrund ihrer großen technologischen Bedeutung untersucht. Mit Hilfe von ALD Zyklus-für-Zyklus Experimenten konnten die elektronischen Eigenschaften von HfO2 in Abhängigkeit der ALD- Zyklenzahl und das Wachstum von HfO2 in den ersten Zyklen studiert werden. Auf Basis der XPS- und EELS-Ergebnisse wurde ein Wachstumsmodell entwickelt. Es wird ebenfalls der Einfluss einer Dipolschicht an der Grenzfläche HfO2/SiO2 auf die Größe der Bandlücke in den EELS-Messungen diskutiert. Mittels resonanten Photoemission wurde ein neuer Auger-Mechanismus (3-Loch-Auger-Prozess) in den Oxiden gefunden. Dieser hat seine Ursache in Defekten. Defekte führen auch zu einer Fano-Anti-Resonanz, welche an der O1s-Absorptions-Kante beobachtet und diskutiert wird. N2 - In the framework of this thesis, synchrotron-based, optical and electron-based methods are applied to study the electronic properties of two different material classes. The focus lies in particular on the determination of the band gap / HOMO-LUMO gap and there dependency on the used method. The two different material classes, which where investigated are the following: Firstly, the organic systems Cobalt-Phthalocyanine and Fullerene. On them the influence of the used method will be shown and the resultant HOMO-LUMO gaps (electronic, volume, surface, optical) are discussed. It becomes apparent, that the optical method (Ellipsometry) in generally result in the smallest value and the electronic methods (XPS, XAS) result in the largest values. The reason for this fact is discussed in detail. By combination of XPS- XAS- and Ellipsometry-measurements it was possible to determine the orientation of the evaporated CoPc molecules on the SiO2 substrate. Secondly, the metal oxides HfO2, Al2O3, In2O3 and Ga2O3 where investigated, because of there great technological importance. By means of ALD cycle-by-cycle experiments it was possible to study the electronic properties of HfO2 as a function of the cycle number and the growth of HfO2 in the very first cycles. Based on the XPS- and EELS-results a growth model was developed. Also the influence of an dipole layer at the interface HfO2/SiO2 on the value of the band gap determined by EELS measurements will be discussed. By using resonant photoemission a new auger-mechanism (3-hole-auger-process) was found in the oxides. The reason for this mechanism are defects. Defects also results in a fano-anti-resonance, which was observed and will be discussed at the O1s-absorption edge. KW - Spektroskopie KW - Metalloxide KW - Spektroskopie KW - Organik KW - Metalloxide KW - Bandlücke KW - 3h-Auger KW - Spectroscopy KW - Organics KW - Metal oxides KW - Band gap KW - 3h-Auger Y1 - 2013 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-29014 ER - TY - THES A1 - Richter, Matthias Hermann T1 - Excitons and Polarons in resonant Auger decay processes T1 - Exzitonen und Polaronen in resonanten Auger Zerfällen N2 - Im Rahmen dieser Arbeit wird die elektronische Struktur von organischen Halbleitern, Graphen und Übergangsmetall (TM)-Oxyden (Co-PI als Katalysator für die Wasserspaltung), unter Nutzung von Synchrotron-Strahlung basierter Spektroskopie, untersucht. Der Schwerpunkt liegt hierbei bei den exzitonischen und polaronischen Effekten. Für eine genaue Beschreibung der elektronischen Struktur dieser Materialien müssen auch Elektron-Phonon- und Elektron-Elektron-Wechselwirkungen, sowie Polarisation und exzitonische Effekte in Betracht gezogen werden. Von Exzitonen und Polaronen als lokalisierte Bandlückenzustände ist bekannt, dass diese die optischen Materialeigenschaften beeinflussen können. Dieser Einfluss kann im resonanten Auger Zerfall aufgedeckt werden. Sogar in TM-Oxyden können Polaronen und Exzitonen als Konsequenz von einem Sauerstoff 2p nach TM3d Ladungstransfer beobachtet werden. Hierfür sind die folgenden drei Materialklassen für die Untersuchungen des Einflusses von Exzitonen und Polaronen im resonanten Auger Zerfall ausgewählt worden. Erstens wird die Existenz von lokalisierten polaronischen und exzitonischen Zuständen anhand von Poly(3-hexylthiophene-2,5-diyl) (rr-P3HT) und Phenyl-C61-butyric acid methyl ester (PCBM) untersucht, welche als Lichtabsorber in organischen Solarzellen eingesetzt werden. Die Existenz von 2D-Polaronen, Singulett-Exzitonen und Triplett-Exzitonen wird für rr-P3HT belegt, wohingegen für PCBM nur Singulett-Exzitonen beobachtet werden. Singulett-Exzitonen zeigen ihren Einfluss auf den resonanten Auger Zerfall durch einen kombinierten Spectator-Participator (S+P) Auger Zerfall im π*-Band. Zweitens wird für eine ausführliche Diskussion der (S+P) Zerfälle hoch orientierter pyrolytischer Graphit (HOPG) untersucht. Für Graphen, als eine Monolage HOPG, in dem keine Van-der-Waals-Kräfte wirken, können weitere Kombinationen von Auger Zerfällen beobachtet werden: ein Doppel Spectator (S+S) und ein Doppel Spectator (S+S)* Auger-Gain Zerfall im π*-Band. Drittens wird Co-PI (Kobaltoxyd-Verbindung) untersucht. Es handelt sich hierbei um ein TM-Oxyd welches als Katalysator für die Sauerstoffentwicklung in photoelektrochemischen Zellen verwendet wird. Selbst lokalisierte Exzitonen werden durch resonante Anregung eines Rumpfelektrons in einem selbst lokalisierten Lochzustand erzeugt und ermöglichen dadurch einen kombinierten Auger Zerfall (S+P). Für jeden der neuartigen Auger Zerfallsprozesse {(S+P), (S+S) und (S+S)*} wird ein Zerfallsmodell vorgestellt. N2 - In the framework of this thesis synchrotron radiation spectroscopy is applied to study the electronic structure of organic semiconductors, of Graphene, and of transition metal (TM) oxide water splitting catalysts (Co-PI) with emphasis on excitonic and polaronic effects. For a correct theoretical description of the electronic structure of these material classes electron-phonon and electron-electron coupling as well as polarization and excitonic effects have to be considered. Excitons and Polarons are localized in-gap states. They are known to affect the optical properties of the material. Their influence can also be revealed in the resonant Auger decay profile. Even in TM-oxides Polarons and Excitons are observed as a consequence of an oxygen 2p to TM3d charge transfer. Therefor, the following three material classes are chosen for the fundamental study of Excitons and Polarons in resonant Auger decay processes. First, the existence of localized polaronic and excitonic states is investigated for regioregular-Poly(3 hexylthiophene-2,5-diyl) (rr-P3HT) and Phenyl-C61-butyric acid methyl ester (PCBM) used as a light absorber in organic solar cells. The existence of 2D-Polarons, singlet Exciton, and triplet Exciton is demonstrated for rr-P3HT whereas for PCBM only singlet Excitons are observed. Singlet Excitons show an influence on the resonant Auger decay by a combined spectator-participator (S+P) Auger decay in the π*-band. Second, for a more detailed study of the (S+P) decay Highly Ordered Pyrolytic Graphite (HOPG) is chosen. For Graphene as a single layer of HOPG without van der Waals force another combination of Auger decays can be observed: a double spectator Auger (S+S) and a double spectator Auger-Gain (S+S)* decay. Third, Co-PI (cobalt oxide compound) is investigated. It is a TM-oxide catalyst used for the oxygen evolution reaction in photo-electrochemical cells. Self-trapped Excitons formed by resonant core electron excitation into self-trapped hole states give rise to a combined Auger decay process (S+P). For all three novel Auger decay processes {(S+P), (S+S), and (S+S)*} a model is proposed. KW - Exziton KW - Polaron KW - Auger-Effekt KW - Exziton KW - Polaron KW - Auger Zerfall KW - Exciton KW - Polaron KW - XAS KW - resPES KW - Auger decay Y1 - 2013 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-29816 ER - TY - THES A1 - Israel, Johannes T1 - Sabatierbasierte autothermale katalytische Rauchgasmethanisierung im Technikumsmaßstab und Rückverstromung im BHKW T1 - Sabatier based autothermal catalytic methanation of flue gas in scale of a demonstration plant and reconversion in a CHP N2 - Es konnte gezeigt werden, dass die direkte Methanisierung des Kohlenstoffanteils aus Rauchgas auf Grundlage der Sabatier-Reaktion im Rahmen des Power-to-Gas Ansatzes unter realen Bedingungen im Technikumsmaßstab möglich ist. Es wurde ein Durchsatz von knapp 235 kg/d CO2 bei einer Gesamtraumgeschwindigkeit der Eduktgase von ca. 1500 h-1, was einem Durchfluss von 45 Nm³/h bei einem Reaktorvolumen von 30 dm³ entspricht, erreicht. Dabei wurde das Kohlenstoffdioxid direkt, ohne weitere Aufarbeitung des Rauchgases, mit mindestens 60% Umsatz katalytisch zu Methan reduziert. Dafür wurde ein Katalysator auf Nickelbasis verwendet. Des Weiteren hat sich gezeigt, dass für eine autotherme Betriebsweise der Methanisierung von Rauchgas der Sauerstoffanteil im Rauchgas eine notwendige Voraussetzung darstellt. Es hat sich dabei herausgestellt, dass das vorliegende Intervall von 4 - 6 Vol % Sauerstoffanteil im Rauchgas aus konventionellen Braunkohlekraftwerken genau in dem notwendigen Bereich liegt, der für eine ausgeglichene Wärmebilanz sorgt. Die bei der Wasserstoff-Sauerstoffreaktion zusätzlich frei werdende Wärme gleicht den kühlenden Effekt des mitgetragenen Stickstoffes genau aus, wodurch die für die Sabatier-Reaktion benötigte Temperatur von mindestens 300 °C aufrechterhalten werden kann. Ohne den Sauerstoff könnte die Methanisierung nicht autotherm betrieben werden. Zudem ist die Reaktion selbstregulierend, die Reaktionstemperatur läuft auf einen oberen Grenzwert von etwa 600 °C hinaus, wodurch Umsätze von 60% nicht unterschritten werden. Bei niedrigeren Temperaturen von ca. 400 °C konnten auch Ergebnisse von über 90% CO2 Umsatz im Rauchgas erreicht werden. Diese Ergebnisse konnten sowohl unter Laborbedingungen, d.h. mit aus technischen Gasen synthetisch hergestelltem Rauchgas, gemessen werden, als auch mit realem Rauchgas direkt am Kraftwerk vor Ort reproduziert werden. Das bei der Rauchgasmethanisierung entstehende Produktgas weist eine Energiedichte von ca. 5 MJ/Nm³ auf, womit es zu den Schwachgasen gezählt wird. Hier konnte der Nachweis erbracht werden, dass eine Nutzung des Produktgases in einem Rückverstromungskonzept mittels eines dezentralen Blockheizkraftwerkes, welches mit einem herkömmlichen Wankelmotor betrieben wird, möglich ist. Das "Proof of Principle" für einen geschlossenen CO2 Kreislauf unter realen Bedingungen mittels der Sabatier Reaktion wurde somit erbracht und lädt dazu ein, diesen Weg weiter zu verfolgen. N2 - It is shown, that the direct methanation of the carbon content of flue gas is possible. This was done on the basis of the Sabatier reaction and under real circumstances in a pilot plant scale. At the reactant gases hourly space velocity of approximately 1500 h-1 an output of just under 235 kg/d CO2 was reached, which corresponds to a flow-rate of 45 Nm³/h at a reactor volume of 30 dm³. Without further reprocessing of the flue gas, the carbon dioxide was directly reduced to methane via catalysis, which resulted in a conversion rate of at least 60%. A nickel based catalyst was used. Furthermore, it appeared that the flue gases oxygen content is a necessary precondition for the methanations autothermic mode of operation. Thereby the present interval of 4 - 6 vol% oxygen content of flue gas from conventional lignite power plants turned out to lie exactly in the necessary range to provide an even thermal balance. Since the additionally released heat from the hydrogen-oxygen reaction just compensates for the cooling effect of the present nitrogen, the Sabatier reaction's required temperature of at least 300 °C can be maintained. Without that oxygen the methanation could not be run autothermally. Additionally, the reaction is self-regulating. The reaction temperature results in a maximum limit value of approximately 600 °C, whereby conversion rates do not fall below 60%. At lower temperatures of about 400 °C, results of over 90% CO2 conversion from flue gas could be reached. These results could be measured under laboratory conditions, i.e. with synthetically produced flue gases mixed from industrial gases, and were reproduced with real flue gas directly from the power plant. The resulting gas from the flue gas methanation exhibits an energy density of approximately 5 MJ/Nm³, which ranks it among the lean gases. Here it could be proven that a reconversion of the produced gas into electricity is possible using a local block-type thermal power station, run with a conventional Wankel rotary engine. Thus the 'Proof of Principle' was provided for a closed CO2 circle under real conditions by means of the Sabatier reaction, which is an invitation to further pursue this path. KW - Sabatier KW - Methanisierung KW - Energiespeicher KW - Rauchgas KW - Kohlendioxid KW - Blockheizkraftwerk KW - Wasserstoff KW - BHKW KW - CCU KW - CHP KW - Energy storage KW - Carbon dioxid KW - Methanation KW - Flue gas KW - Sabatier KW - Hydrogen KW - CCU KW - Power-to-Gas KW - Combines heat and power plant KW - Rauchgasreinigung KW - Kohlendioxidemission KW - Methanisierung KW - Blockheizkraftwerk Y1 - 2016 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus4-41467 ER - TY - THES A1 - Lehmann, Carsten T1 - Elektronische Struktur dünner Halbleiterfilme (Chalkopyrite) als Absorbermaterialien für Dünnschichtsolarzellen T1 - Electronic structure of semiconductor thin films (chalcopyrites) as absorbermaterials for thin film solar cells N2 - Ziel der Arbeit war es, erstmalig die Bandstruktur des in Dünnschichtsolarzellen verwendeten Absorbermaterials CuInS2 zu bestimmen. Zu diesem Zweck konnte ein neues GSMBE-Verfahren mit TBDS als Schwefel-Precursor etabliert werden, um die Verwendung von elementarem Schwefel in einem UHV-System zu ersetzen. Zusätzlich zu den abgeschiedenen Schichten wurde eine Spaltfläche präpariert. Die Charakterisierung der Proben erfolgte in situ mittels XPS/UPS und LEED. Für weitere ex situ Untersuchungen standen XRD und SEM zur Verfügung. Die ARUPS-Untersuchungen zur Bestimmung der Bandstruktur fanden unter Verwendung von Synchrotronstrahlung statt. Die aufgenommenen Bandstrukturen wurden mit Bandstrukturberechnungen Eyerts und Zungers verglichen. Dabei gestattete eine Zusammenarbeit mit Eyert eine exakte Verifizierung jenseits hochsymmetrischer Verläufe. CuInS2(001) und CuInS2(112) wurden auf Si und GaAs abgeschieden und zeigte Wachstumsraten, die im Vergleich mit MBE-Verfahren älterer Arbeiten um bis zu 85 % geringer waren. Dies führte auf Si zur Ausbildung von CuSi-Phasen, so dass sich Si als Substrat in diesem Verfahren als ungeeignet erwies. Die CuInS2-Abscheidung auf GaAs zeigte eine starke Abhängigkeit von der vorhandenen Oberflächenrekonstruktion. Eine 2x1-Rekonstruktion auf GaAs(100) führte zu einer terrassierten CuInS2-Schicht. Eine Abscheidung auf der 2x2-rekonstruierten GaAs(111)A-Oberfläche hatte eine facettierte CuInS2-Oberfläche zur Folge. Auf schwefelpassiviertem, rekonstruktionsfreien GaAs(111)B zeigte sich dagegen facettenfreies CuInS2(112)-Wachstum in vorherrschender Chalkopyrit-Struktur. An den Oberflächen von Cu-reichen CuInS2-Schichten bildeten sich CuS-Kristallite. Diese polykristallinen Ablagerungen führten bei ARUPS-Untersuchungen zu nicht-dispergierenden Zuständen, die die Bandstrukturen der CuInS2-Schichten überlagerten. Bei k-senkrecht-Messungen zeigte sich ein Zustand, dessen Dispersion stark von Berechnungen Eyerts abweicht. Sein Ursprung konnte nicht eindeutig abgeleitet werden konnte, da der Beobachtungsraum aufgrund von Endzustandseffekten und Satellitenstörungen stark eingeschränkt war. Generell zeigt ein Vergleich der Berechnungen nach Eyert bzw. Zunger mit entsprechenden Messungen, dass beide Modellrechnungen zu Bindungsenergien führen, die gegenüber den Messwerten zu niedrig sind. Die energetische Ausdehnung der charakteristischen Bereiche und deren Lage zueinander wird jedoch zutreffend beschrieben. Konsistent mit Beobachtungen in anderen Arbeiten an analogen Systemen erweist sich dabei die theoretische Behandlung der d-Zustände bei der Modellierung als kritisch. Die Bandlücke zwischen d-Charakter-dominierten und p-Charakter-dominierten Bereich wird von Eyert überschätzt, von Zunger dagegen unterschätzt. Dies lässt sich auf die verwendeten Näherungen (LDA (Zunger), GGA (Eyert)) des Austauschkorrelationspotentials zurückführen. Bei einer Anpassung der von Eyert berechneten Bandstrukturen durch einen geeigneten Energieoffset zeigten sich im Vergleich mit k-parallel-Messungen im Allgemeinen gute, nahezu perfekte Übereinstimmungen von beobachteten Dispersionsverläufen. Es konnten die effektiven Massen der Löcher für die k-parallel-Messungen bestimmt werden. Die Werte liegen in der Größenordnung des Literaturwertes, jedoch im Mittel bei doppelt so hohen Werten. Ein signifikanter, richtungsabhängiger Trend lässt sich aufgrund der starken Streuung nicht feststellen. Im Rahmen der vorliegenden Arbeit konnte ausserdem gezeigt werden, dass die Verwendung eines (111)-Substrates zu einer Domänenbildung aufwachsender CuInS2(112)-Schichten führt. ARUPS-Messungen an derartigen Schichten bestehen daher aus einer Überlagerung von Bandstrukturen entlang unterschiedlicher Richtungen. Für zukünftige Arbeiten bietet es sich daher an, die (111)-substratinduzierte Fehlorientierung von (112)-Schichten durch ein Step-Flow-Wachstum auf Substraten mit Miscut zu unterbinden. N2 - The objective of this work was to determine for the first time the band structure of CuInS2 which is used as absorber material in thin film solar cells.For this purpose a new GSMBE process with TBDS as sulphur precursor was established to prevent the use of elemental sulphur in an UHV system. Additionally to the deposited films a cleave surface was prepared. The samples were characterized in situ by XPS/UPS and LEED. XRD and SEM were used for further ex situ investigations. The band structure was determined by ARUPS using synchrotron light. The experimentally determined band structures were compared with calculations done by Eyert and Zunger. A close cooperation with Eyert allowed an exact verification along non-high symmetry directions.CuInS(001) and CuInS2(112) were deposited on Si and GaAs. The achieved growth rates were up to 85% lower than those of MBE processes described in earlier works. Due to this phases of CuSi were able to form on Si, thereby indicating that Si is not a suitable substrate material in this GSMBE-process. The deposition of CuInS2 on GaAs showed a strong dependence on the existing surface reconstruction. A 2x1 reconstruction of GaAs(001) yielded CuInS2(001) films featuring terraces. A deposition on 2x2 reconstructed GaAs(111)A surfaces led to a facetted CuInS2 surface. On sulphur-passivated non-reconstructed GaAs(111)B a depositon of chalcopyrite ordered CuInS2 free of facets was possible. On the surface of Cu-rich CuInS2 films CuS crystallites formed. This yields ARUPS spectra showing the electronic stucture of CuInS2 superimposed by non-dispergative states of the polycrystalline CuS segregations. In k perpendicular measurements a state was identified, the dispersion of which showed a strong difference to the calculations of Eyert. The origin of this state could not be pinpointed since the investigated extent of the band structure was severely limited due to final states effects and by satellite lines. Generally a comparison of the calculations by Eyert and Zunger with the corresponding measurements showed that both theoretical models yield binding energy values which are lower than those determined by the experiments. However, the width and relative positions in energy of characteristical areas were correctly modelled. As consistent with observations of other works on analogous systems the theoretical treatment of the d-states proves to be a critical factor in the model calculations. While the band gap between the d-character dominated states and p-character dominated states are overestimated in Eyerts calculations it is underestimated by Zunger. This can by attributed to the chosen approximations of the exchange correlation potential (LDA(Zunger), GGA(Eyert)). After the application of suitable energy offsets the calculated band structure by Eyert showed an overall good almost perfect agreement with the experimentally determined dispersions. The effective hole masses were derived from the k parallel measurements. The determined values are of the scale of the value found in literature although they are as much as twice that high. Due to the wide scattering no significant trend concerning a direction dependence could be determined. Finally the results of this work showed that the use of a (111) substrate leads to domain formation of the deposited CuInS2(112) films. Thus ARUPS spectra of such films show a superposition of the band structures along different directions. Therefore, with regard to future works it is highly recommended to suppress the (111) substrate induced misorientation by a step-flow-growth on substrates featuring a miscut. KW - Photovoltaik KW - Dünnschichtsolarzelle KW - Bandstruktur KW - Chalkopyrit KW - ARUPS KW - CIS KW - DFT KW - Band structure KW - Chalcopyrite KW - ARUPS KW - CIS KW - DFT Y1 - 2007 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-4319 ER - TY - THES A1 - Klocek Jolanta, T1 - Processing and investigation of thin films with incorporated carbon species for possible application as low-k materials T1 - Herstellung und Untersuchung von dünnen kohlenstoffhaltigen Filmen und ihre mögliche Anwendung als Materialien mit niedriger Dielektrizitätskonstante N2 - In this thesis the detailed investigations concerning processing and stability of thin films including carbon species and their possible application as materials of a low dielectric constant (low k) are presented. In order to gather a complex information regarding the chemical, morphological and dielectric properties of the produced layer a combination of the spectroscopy: X-ray photoelectron spectroscopy (XPS), near edge X-ray absorption fine structure spectroscopy (NEXAFS) and Fourier transform infrared spectroscopy (FTIR), microscopy: atomic force microscopy (AFM) and electrical characterization: capacitance-voltage technique (CV) have been applied. The films deposited by means of variety of techniques have been described, ranging from evaporation, through spraying and dropping to spin-coating. Regarding the possible low-k application, a considerable attention has been paid to the hybrid organic-inorganic 3-aminopropyl-trimethoxysilane (APTMS) based composite materials enriched with carbon species coming from the following dopants: C60 fullerenes, [6,6]-phenyl-C61-butyric acid (PCBM), copper phthalocyanine (CuPc), and tris(dimethylvinylsilyloxy)-POSS (POSS). In the following thesis progressive steps leading to gradual decreasing of the resulting permittivity of the hybrid material is presented. As revealed by the performed investigations, the replacement of C60 within the APTMS based matrix by its better soluble derivative PCBM allows the increase of the concentration of the carbon species within the composite films. The introduction of POSS as an additional dopant gave the opportunity of increasing the resistance of the produced material against the ambient influence. With the excess of the POSS concentration an original fractal-shaped cluster formation has been observed. Finally, the dispersion of the properly chosen low concentration of CuPc and POSS molecules within the APTMS based matrix led to the fabrication of homogenous layer with an ultra-low dielectric constant of 1.8. N2 - In dieser Arbeit werden detaillierte Untersuchungen zur Herstellung und Stabilität von dünnen kohlenstoffhaltigen Filmen und ihre mögliche Anwendung als Materialien mit niedriger Dielektrizitätskonstante (low k) präsentiert. Um komplexe Informationen über die chemischen, morphologischen und dielektrischen Eigenschaften der erzeugten Schichten zu erhalten, wurde eine Kombination verschiedener Methoden angewendet. Dabei kamen spektroskopische (Röntgen-Photoelektronen-Spektroskopie: XPS, Röntgen-Nahkanten-Absorptions-Spektroskopie: NEXAFS und Fourier-Transform-Infrarot-Spektroskopie: FTIR), mikroskopische (Rasterkraftmikroskopie: AFM) und elektrische (Kapazitäts-Spannungs-Messungen: CV) Charakterisierungsmethoden zum Einsatz. Die Filme wurden mit verschiedenen Techniken aufgetragen: Verdampfung, Sprüh- und Tropfverfahren sowie Spin Coating. Bezüglich der möglichen low-k-Anwendung wurden hybride organisch-anorganische kohlenstoffhaltige Verbundwerkstoffe untersucht. Die Filme basieren auf 3-Aminopropyltrimethoxysilan (APTMS), das mit den folgenden Dotierungen angereichert wurde: C60 Fulleren, Phenyl-C61-Buttersäure-methylester (PCBM), Kupfer-Phthalocyanin (CuPc) und Tris (dimethylvinylsilyloxy)-POSS (POSS). Die vorliegende Dissertation stellt progressive Optimierungsschritte dar, mit denen eine allmähliche Verringerung der resultierenden Dielektrizitätskonstante des Hybrid-Materials erreicht wurde. Wie die durchgeführten Untersuchungen zeigen, erlaubt die Substitution von C60 in der APTMS Matrix durch sein besser lösliches Derivat PCBM die Erhöhung der Konzentration der Kohlenstoffspezies innerhalb der Schichten. Die Einführung von POSS als zusätzliche Dotierung führte zu einer Erhöhung der Resistenz des produzierten Materials gegen Umgebungseinflüsse. Jedoch wurden dabei ab bestimmten POSS-Konzentrationen (im Bereich von 0.3 %) Überladungen der Matrix mit dem Dotierstoff festgestellt, was sich in der Bildung fraktaler Strukturen widerspiegelte. Letztendlich erzielte die Kombination relativ niedriger Konzentration von CuPc und POSS-Molekülen (im Bereich von 0.1 %), die innerhalb der APTMS Matrix dispergiert wurden, die besten Ergebnisse und es konnten homogene Schichten mit einer ultra-niedrigen Dielektrizitätskonstante von 1.8 hergestellt werden. KW - Röntgen-Photoelektronenspektroskopie KW - Rasterkraftmikroskopie KW - Röntgen-Photoelektronenspektroskopie KW - Kapazität-Spannungs-Charakteristik KW - 3-Aminopropyltrimethoxysilan KW - Low-k-Materialien KW - Rasterkraftmikroskopie KW - X-ray photoelectron spectroscopy KW - Capacitance–voltage characterization KW - 3-Aminopropyltrimethoxysilane KW - Low-k materials KW - Atomic force microscopy Y1 - 2011 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-24358 ER - TY - THES A1 - Zimmer, Fabian T1 - Herstellung und Charakterisierung hocheffizienter, mikromechanischer NIR-Beugungsgitter für den Einsatz in miniaturisierten Spektrometern T1 - Fabrication and characterization of high-efficient, micromechanical NIR diffraction gratings used in miniaturized spectrometers N2 - Im heutigen Bereich der Analytik spielen optische Verfahren eine wichtige Rolle und kommen in unterschiedlichsten Anwendungen zum Einsatz. Vorteilhaft ist dabei die berührungslose und zerstörungsfreie Messung mit Licht, die die Analyse von kritischen Substanzen deutlich vereinfacht. Die optische Spektroskopie nimmt dabei einen wichtigen Teil der Analytik ein. Speziell die Nah-Infrarot (NIR)-Spektroskopie im Wellenlängenbereich von 780 nm bis 3000 nm weist zahlreiche Anwendungen auf, die von chemischen Reaktionen und Prozessanalysen, der Untersuchung von Polymeren und Textilien, der Qualitätskontrolle von Früchten und Samen bis in die Medizintechnik reichen. Die hierfür verwendeten NIR-Spektrometer sind überwiegend als Spektrografen ausgelegt, wobei das dispersive Element fest eingebaut ist und das Spektrum über ein Galliumarsenid basiertes Diodenarray vermessen wird. Diodenarrays im NIR-Bereich sind kostenintensiv, tragen allerdings maßgeblich zur spektralen Auflösung der Spektrografen bei. Ein alternativer Ansatz ergibt sich durch Monochromatoren mit einem beweglichen dispersiven Element, wobei das Spektrum zeitabhängig über den Detektor streicht. Dadurch ist nur eine Einzeldiode als Detektor ausreichend. Die spektrale Auflösung ist in diesem Fall allerdings eine Funktion der zeitabhängigen Position des dispersiven Elements, die mit hoher Präzision kontrolliert und bestimmt werden muss. In Kombination mit schnellen Messzeiten eines Spektrums im Millisekunden-Bereich, ist eine makroskopische Realisierung sehr aufwendig. Die vorliegende Arbeit beschäftigt sich alternativ mit der Herstellung und Charakterisierung eines mikromechanischen NIR-Beugungsgitters, durch das ein neuartiges, miniaturisiertes NIR-Spektrometer, basierend auf einer Monochromator-Konfiguration, realisiert werden konnte. Das Beugungsgitter basiert dabei auf einem resonant schwingenden Mikrospiegel, der als mikroelektromechanisches System (MEMS) mittels siliziumbasierter, oberflächennaher Volumen-mikromechanik hergestellt wurde. Dabei konnte durch den Einsatz des mikromechanischen Beugungsgitters ein äußerst kompaktes und robustes NIR-Spektrometer (10 x 8 x 7,5 cm³) entwickelt werden, das als Monochromator-System einen deutlichen Kostenvorteil gegenüber derzeitigen NIR-Spektrografen erzielen kann. Erforderlich war hierbei, die Anforderungen an das mikromechanische Beugungsgitter zwingend an den Randbedingungen des NIR-Spektrometers zu spiegeln und mit Ihnen in Übereinstimmung zu bringen. Durch eine gezielte Dimensionierung und Optimierung der mechanischen und optischen Eigenschaften des mikromechanischen Beugungsgitters, zu der unter anderem die Größe, Beugungseffizienz, Schwingungsfrequenz, Schwingungsstabilität, Schockfestigkeit und ein Verfahren zur Detektion des zeitabhängigen Torsionswinkels gehörte, konnte eine spektrale Auflösung des NIR-Spektrometers von unter 10 nm bei einem Wellenlängenbereich von 900 – 2500 nm erreicht werden. Gleichzeitig wurden 3 verschiedene Gitterstrukturen zur Maximierung der Beugungseffizienz untersucht, erfolgreich hergestellt und vermessen. N2 - Optical procedures play an increasingly important role in today’s field of analytics and are used in a variety of applications. In this context the non-contact and non-destructive measurement with light, which greatly simplifies the analysis of critical substances, is advantageous. Optical spectroscopy, therefore, is an important part of analytics. In particular near-infrared (NIR) spectroscopy with a wave length range from 780 nm to 3000 nm exhibits numerous applications, ranging from chemical reactions and process analyses, examinations of polymers and textile to quality control of fruits and seeds as well as medical technology. The NIR spectrometers used in this context are primarily designed as spectrographs while the dispersive element is firmly installed and the spectrum is measured via a diode array based on gallium arsenide. Diode arrays in the NIR range are costly but contribute significantly to the spectral resolution of the spectrograph. An alternative approach uses monochromators with a flexible dispersive element as the spectrum swipes across the detector at a specific time. A single diode as a detector is sufficient for this purpose. However, the spectral resolution is a function of the time-dependent position of the dispersive element, which must be controlled and guided with high precision. A macroscopic realization in combination with quick spectrum measurement times in the millisecond range is very elaborate. The present work alternatively deals with the manufacturing and characterization of a micromechanical NIR diffraction grating with which a new, miniaturized NIR spectrometer, based on a monochromator configuration, could be realized. The diffraction grating is based on a resonantly driven micro-mirror, which has been manufactured as a micro-electromechanical system (MEMS) with silicon-based, near-surface volume micromechanics. In this process an extremely compact and robust NIR spectrometer (10 x 8 x 7.5 cm³) was developed by using a micromechanical diffraction grating. The spectrometer shows a decisive cost advantage in comparison with current NIR spectrographs. In this regard it was absolutely necessary to mirror the requirements for the micromechanical diffraction grating with the marginal conditions of the NIR spectrometer and bring these in agreement with each other. A spectral resolution of the NIR spectrometer below 10 nm with a wave length range of 900 - 2500 nm was achieved with a targeted dimensioning and optimization of the mechanical and optical properties of the micromechanical diffraction grating; this also included among other things the size, diffraction efficiency, vibration frequency, vibration stability, shock resistance and a procedure to detect the time-dependent torsion angle. Concurrently 3 different grating structures were successfully examined, manufactured and measured in order to maximize the diffraction efficiency. KW - MEMS KW - Mikrooptik KW - NIR-Spektroskopie KW - Datenauswertung KW - Mikrosystemtechnik KW - MEMS KW - Mikrooptik KW - Mikrospiegel KW - Spektrometer KW - Microsystem technology KW - MEMS KW - Microoptics KW - Micromirror KW - Spectrometer Y1 - 2012 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-25224 ER -