TY - GEN A1 - Perez, Eduardo A1 - Maldonado, David A1 - Perez-Bosch Quesada, Emilio A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Jimenez-Molinos, Francisco A1 - Wenger, Christian T1 - Parameter Extraction Methods for Assessing Device-to-Device and Cycle-to-Cycle Variability of Memristive Devices at Wafer Scale T2 - IEEE Transactions on Electron Devices N2 - The stochastic nature of the resistive switching (RS) process in memristive devices makes device-to-device (DTD) and cycle-to-cycle (CTC) variabilities relevant magnitudes to be quantified and modeled. To accomplish this aim, robust and reliable parameter extraction methods must be employed. In this work, four different extraction methods were used at the production level (over all the 108 devices integrated on 200-mm wafers manufactured in the IHP 130-nm CMOS technology) in order to obtain the corresponding collection of forming, reset, and set switching voltages. The statistical analysis of the experimental data (mean and standard deviation (SD) values) was plotted by using heat maps, which provide a good summary of the whole data at a glance and, in addition, an easy manner to detect inhomogeneities in the fabrication process. KW - RRAM KW - memristive device KW - cycle-to-cycle variability KW - device-to-device variability Y1 - 2023 U6 - https://doi.org/10.1109/TED.2022.3224886 SN - 0018-9383 VL - 70 IS - 1 SP - 360 EP - 365 ER - TY - GEN A1 - Baroni, Andrea A1 - Glukhov, Artem A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Ielmini, Daniele A1 - Olivo, Piero A1 - Zambelli, Cristian T1 - Low Conductance State Drift Characterization and Mitigation in Resistive Switching Memories (RRAM) for Artificial Neural Networks T2 - IEEE Transactions on Device and Materials Reliability N2 - The crossbar structure of Resistive-switching random access memory (RRAM) arrays enabled the In-Memory Computing circuits paradigm, since they imply the native acceleration of a crucial operations in this scenario, namely the Matrix-Vector-Multiplication (MVM). However, RRAM arrays are affected by several issues materializing in conductance variations that might cause severe performance degradation. A critical one is related to the drift of the low conductance states appearing immediately at the end of program and verify algorithms that are mandatory for an accurate multi-level conductance operation. In this work, we analyze the benefits of a new programming algorithm that embodies Set and Reset switching operations to achieve better conductance control and lower variability. Data retention analysis performed with different temperatures for 168 hours evidence its superior performance with respect to standard programming approach. Finally, we explored the benefits of using our methodology at a higher abstraction level, through the simulation of an Artificial Neural Network for image recognition task (MNIST dataset). The accuracy achieved shows higher performance stability over temperature and time. KW - RRAM KW - neural network KW - Multilevel switching Y1 - 2022 U6 - https://doi.org/10.1109/TDMR.2022.3182133 SN - 1530-4388 VL - 22 IS - 3 SP - 340 EP - 347 ER - TY - GEN A1 - Franck, Max A1 - Dabrowski, Jaroslaw A1 - Schubert, Markus Andreas A1 - Wenger, Christian A1 - Lukosius, Mindaugas T1 - Towards the Growth of Hexagonal Boron Nitride on Ge(001)/Si Substrates by Chemical Vapor Deposition T2 - Nanomaterials N2 - The growth of hexagonal boron nitride (hBN) on epitaxial Ge(001)/Si substrates via high-vacuum chemical vapor deposition from borazine is investigated for the first time in a systematic manner. The influences of the process pressure and growth temperature in the range of 10−7–10−3 mbar and 900–980 °C, respectively, are evaluated with respect to morphology, growth rate, and crystalline quality of the hBN films. At 900 °C, nanocrystalline hBN films with a lateral crystallite size of ~2–3 nm are obtained and confirmed by high-resolution transmission electron microscopy images. X-ray photoelectron spectroscopy confirms an atomic N:B ratio of 1 ± 0.1. A three-dimensional growth mode is observed by atomic force microscopy. Increasing the process pressure in the reactor mainly affects the growth rate, with only slight effects on crystalline quality and none on the principle growth mode. Growth of hBN at 980 °C increases the average crystallite size and leads to the formation of 3–10 well-oriented, vertically stacked layers of hBN on the Ge surface. Exploratory ab initio density functional theory simulations indicate that hBN edges are saturated by hydrogen, and it is proposed that partial de-saturation by H radicals produced on hot parts of the set-up is responsible for the growth KW - Boron nitride KW - 2d materials KW - Chemical vapour deposition Y1 - 2022 U6 - https://doi.org/10.3390/nano12193260 SN - 2079-4991 VL - 12 IS - 19 ER - TY - GEN A1 - Strobel, Carsten A1 - Alvarado Chavarin, Carlos A1 - Wenger, Christian A1 - Albert, Matthias A1 - Mikolajick, Thomas T1 - Vertical Graphene-Based Transistors for Power Electronics, Optoelectronics and Radio-Frequency Applications T2 - IEEE Nanotechnology Materials and Devices Conference (NMDC), Paestum, Italy, 22-25 October 2023 N2 - The combination of two-dimensional materials, such as graphene, with established thin films offers great opportunities for enabling next-generation vertical transistors for various applications. This paper gives a brief overview about different vertical transistor concepts using twodimensional materials proposed so far, e.g. the hot electron transistor and the Barristor. With the arrival of twodimensional materials, the hot electron transistor also experienced a revival with predicted cut-off frequencies in the THz range. The Barristor overcomes the weak current saturation of lateral graphene field-effect transistors and high on-off ratios up to 107 were demonstrated, which are suitable parameters for logic applications. By combining a semiconductor-graphene-semiconductor design of the simplest hot electron transistor with the Barristor operating principle a new device, called graphene adjustable-barriers transistor, can be realized. This new device concept provides the potential for RF, power electronics, and optoelectronic applications. KW - Graphene Y1 - 2023 SN - 979-8-3503-3546-0 SN - 979-8-3503-3547-7 U6 - https://doi.org/10.1109/NMDC57951.2023.10344102 SN - 2473-0718 SP - 196 EP - 201 PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Stanke, Sandra A1 - Wenger, Christian A1 - Bier, Frank F. A1 - Hölzel, Ralph T1 - AC electrokinetic immobilization of influenza virus T2 - Electrophoresis N2 - The use of alternating current (AC) electrokinetic forces, like dielectrophoresis and AC electroosmosis, as a simple and fast method to immobilize sub-micrometer objects onto nanoelectrode arrays is presented. Due to its medical relevance, the influenza virus is chosen as a model organism. One of the outstanding features is that the immobilization of viral material to the electrodes can be achieved permanently, allowing subsequent handling independently from the electrical setup. Thus, by using merely electric fields, we demonstrate that the need of prior chemical surface modification could become obsolete. The accumulation of viral material over time is observed by fluorescence microscopy. The influences of side effects like electrothermal fluid flow, causing a fluid motion above the electrodes and causing an intensity gradient within the electrode array, are discussed. Due to the improved resolution by combining fluorescence microscopy with deconvolution, it is shown that the viral material is mainly drawn to the electrode edge and to a lesser extent to the electrode surface. Finally, areas of application for this functionalization technique are presented. KW - dielectrophoresis KW - immobilization KW - virus Y1 - 2022 U6 - https://doi.org/10.1002/elps.202100324 SN - 1522-2683 VL - 43 IS - 12 SP - 1309 EP - 1321 ER - TY - GEN A1 - Perez-Bosch Quesada, Emilio A1 - Rizzi, Tommaso A1 - Gupta, Aditya A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Schubert, Andreas A1 - Pechmann, Stefan A1 - Jia, Ruolan A1 - Uhlmann, Max A1 - Hagelauer, Amelie A1 - Wenger, Christian A1 - Perez, Eduardo T1 - Multi-Level Programming on Radiation-Hard 1T1R Memristive Devices for In-Memory Computing T2 - 14th Spanish Conference on Electron Devices (CDE 2023), Valencia, Spain, 06-08 June 2023 N2 - This work presents a quasi-static electrical characterization of 1-transistor-1-resistor memristive structures designed following hardness-by-design techniques integrated in the CMOS fabrication process to assure multi-level capabilities in harsh radiation environments. Modulating the gate voltage of the enclosed layout transistor connected in series with the memristive device, it was possible to achieve excellent switching capabilities from a single high resistance state to a total of eight different low resistance states (more than 3 bits). Thus, the fabricated devices are suitable for their integration in larger in-memory computing systems and in multi-level memory applications. Index Terms—radiation-hard, hardness-by-design, memristive devices, Enclosed Layout Transistor, in-memory computing KW - RRAM Y1 - 2023 SN - 979-8-3503-0240-0 U6 - https://doi.org/10.1109/CDE58627.2023.10339525 PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Sütbas, Batuhan A1 - Ng, Herman Jalli A1 - Wessel, Jan A1 - Kölpin, Alexander A1 - Kahmen, Gerhard T1 - A V-band Low-Power and Compact Down-Conversion Mixer with Low LO Power in 130-nm SiGe BiCMOS Technology T2 - 16th European Microwave Integrated Circuits Conference (EuMIC), 03-04 April 2022, London, United Kingdom Y1 - 2022 U6 - https://doi.org/10.23919/EuMIC50153.2022.9783953 ER - TY - GEN A1 - Zahari, Finn A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Kohlstedt, Hermann A1 - Wenger, Christian A1 - Ziegler, Martin T1 - Analogue pattern recognition with stochastic switching binary CMOS‑integrated memristive devices T2 - Scientific Reports N2 - Biological neural networks outperform todays computer technology in terms of power consumption and computing speed when associative tasks, like pattern recognition, are to be solved. The analogue and massive parallel in-memory computing in biology differs strongly with conventional transistor electronics using the von Neumann architecture. Therefore, novel bio-inspired computing architectures are recently highly investigated in the area of neuromorphic computing. Here, memristive devices, which serve as non-volatile resistive memory, are used to emulate the plastic behaviour of biological synapses. In particular, CMOS integrated resistive random access memory (RRAM) devices are promising candidates to extend conventional CMOS technology in neuromorphic systems. However, dealing with the inherent stochasticity of the resistive switching effect can be challenging for network performance. In this work, the probabilistic switching is exploited to emulate stochastic plasticity with fully CMOS integrated binary RRAM devices. Two different RRAM technologies with different device variabilities are investigated in detail and their use in a stochastic artificial neural network (StochANN) to solve the MINST pattern recognition task is examined. A mixed-signal implementation with hardware synapses and software neurons as well as numerical simulations show the proposed concept of stochastic computing is able to handle analogue data with binary memory cells. KW - RRAM KW - memristive device KW - neural network KW - HfO2 Y1 - 2020 U6 - https://doi.org/10.1038/s41598-020-71334-x SN - 2045-2322 VL - 10 ER - TY - GEN A1 - Zanotti, Tommaso A1 - Puglisi, Francesco Maria A1 - Milo, Valerio A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Ossorio, Óscar G. A1 - Wenger, Christian A1 - Pavan, Paolo A1 - Olivo, Piero A1 - Ielmini, Daniele T1 - Reliability of Logic-in-Memory Circuits in Resistive Memory Arrays T2 - IEEE Transactions on Electron Devices N2 - Logic-in-memory (LiM) circuits based on resistive random access memory (RRAM) devices and the material implication logic are promising candidates for the development of low-power computing devices that could fulfill the growing demand of distributed computing systems. However, these circuits are affected by many reliability challenges that arise from device nonidealities (e.g., variability) and the characteristics of the employed circuit architecture. Thus, an accurate investigation of the variability at the array level is needed to evaluate the reliability and performance of such circuit architectures. In this work, we explore the reliability and performance of smart IMPLY (SIMPLY) (i.e., a recently proposed LiM architecture with improved reliability and performance) on two 4-kb RRAM arrays based on different resistive switching oxides integrated in the back end of line (BEOL) of the 0.25- μm BiCMOS process. We analyze the tradeoff between reliability and energy consumption of SIMPLY architecture by exploiting the results of an extensive array-level variability characterization of the two technologies. Finally, we study the worst case performance of a full adder implemented with the SIMPLY architecture and benchmark it on the analogous CMOS implementation. KW - RRAM KW - in-memory computing KW - HfO2 Y1 - 2020 U6 - https://doi.org/10.1109/TED.2020.3025271 SN - 0018-9383 SN - 1557-9646 VL - 67 IS - 11 SP - 4611 EP - 4615 ER - TY - GEN A1 - Romero-Zaliz, Rocío A1 - Perez, Eduardo A1 - Jimenez-Molinos, Francisco A1 - Wenger, Christian A1 - Roldan, Juan Bautista T1 - Study of Quantized Hardware Deep Neural Networks Based on Resistive Switching Devices, Conventional versus Convolutional Approaches T2 - Electronics (MDPI) N2 - A comprehensive analysis of two types of artificial neural networks (ANN) is performed to assess the influence of quantization on the synaptic weights. Conventional multilayer-perceptron (MLP) and convolutional neural networks (CNN) have been considered by changing their features in the training and inference contexts, such as number of levels in the quantization process, the number of hidden layers on the network topology, the number of neurons per hidden layer, the image databases, the number of convolutional layers, etc. A reference technology based on 1T1R structures with bipolar memristors including HfO2 dielectrics was employed, accounting for different multilevel schemes and the corresponding conductance quantization algorithms. The accuracy of the image recognition processes was studied in depth. This type of studies are essential prior to hardware implementation of neural networks. The obtained results support the use of CNNs for image domains. This is linked to the role played by convolutional layers at extracting image features and reducing the data complexity. In this case, the number of synaptic weights can be reduced in comparison to conventional MLPs. KW - RRAM KW - resistive switching KW - neural network Y1 - 2021 U6 - https://doi.org/10.3390/electronics10030346 SN - 2079-9292 VL - 10 IS - 3 ER - TY - GEN A1 - Soltani Zarrin, Pouya A1 - Rockendorf, Niels A1 - Wenger, Christian T1 - In-Vitro Classification of Saliva Samples of COPD Patients and Healthy Controls Using Machine Learning Tools T2 - IEEE Access N2 - Chronic Obstructive Pulmonary Disease (COPD) is a life-threatening lung disease and a major cause of morbidity and mortality worldwide. Although a curative therapy has yet to be found, permanent monitoring of biomarkers that reflect the disease progression plays a pivotal role for the effective management of COPD. The accurate examination of respiratory tract fluids like saliva is a promising approach for staging the disease and predicting its upcoming exacerbations in a Point-of-Care (PoC) environment. Nonetheless, this approach is only feasible by concurrent consideration of patients' demographic and medical parameters. Therefore, Machine Learning (ML) tools are necessary for the comprehensive recognition of COPD in a PoC setting. As a result, the objective of this work was to implement ML tools on the data acquired from characterizing saliva samples of COPD patients and healthy controls for classification purposes. First, a permittivity biosensor was used to characterize dielectric properties of saliva samples and, subsequently, ML tools were applied on the acquired data for classification. The XGBoost gradient boosting algorithm provided a high classification accuracy of 91.25%, making it a promising model for COPD recognition. Integration of this model on a neuromorphic chip, in the future, will enable the real-time detection of COPD in PoC, with low energy consumption and high patient privacy. KW - COPD KW - Machine learning KW - Point of care Y1 - 2020 U6 - https://doi.org/10.1109/ACCESS.2020.3023971 SN - 2169-3536 VL - Vol. 8 SP - 168053 EP - 168060 ER - TY - GEN A1 - Bogun, Nicolas A1 - Perez-Bosch Quesada, Emilio A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Kloes, Alexander A1 - Schwarz, Mike T1 - Analytical Calculation of Inference in Memristor-based Stochastic Artificial Neural Networks T2 - 29th International Conference on Mixed Design of Integrated Circuits and System (MIXDES), 23-24 June 2022 , Wrocław, Poland N2 - The impact of artificial intelligence on human life has increased significantly in recent years. However, as the complexity of problems rose aswell, increasing system features for such amount of data computation became troublesome due to the von Neumann’s computer architecture. Neuromorphic computing aims to solve this problem by mimicking the parallel computation of a human brain. For this approach, memristive devices are used to emulate the synapses of a human brain. Yet, common simulations of hardware based networks require time consuming Monte-Carlo simulations to take into account the stochastic switching of memristive devices. This work presents an alternative concept making use of the convolution of the probability distribution functions (PDF) of memristor currents by its equivalent multiplication in Fourier domain. An artificial neural network is accordingly implemented to perform the inference stage with handwritten digits. KW - RRAM KW - neural network Y1 - 2022 SN - 978-83-63578-22-0 SN - 978-83-63578-21-3 SN - 978-1-6654-6176-4 U6 - https://doi.org/10.23919/MIXDES55591.2022.9838321 SP - 83 EP - 88 ER - TY - GEN A1 - Soltani Zarrin, Pouya A1 - Zahari, Finn A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Perez, Eduardo A1 - Kohlstedt, Hermann A1 - Wenger, Christian T1 - Neuromorphic on‑chip recognition of saliva samples of COPD and healthy controls using memristive devices T2 - Scientific Reports N2 - Chronic Obstructive Pulmonary Disease (COPD) is a life-threatening lung disease, affecting millions of people worldwide. Implementation of Machine Learning (ML) techniques is crucial for the effective management of COPD in home-care environments. However, shortcomings of cloud-based ML tools in terms of data safety and energy efficiency limit their integration with low-power medical devices. To address this, energy efficient neuromorphic platforms can be used for the hardware-based implementation of ML methods. Therefore, a memristive neuromorphic platform is presented in this paper for the on-chip recognition of saliva samples of COPD patients and healthy controls. The results of its performance evaluations showed that the digital neuromorphic chip is capable of recognizing unseen COPD samples with accuracy and sensitivity values of 89% and 86%, respectively. Integration of this technology into personalized healthcare devices will enable the better management of chronic diseases such as COPD. KW - RRAM KW - memristive device KW - neural network Y1 - 2020 U6 - https://doi.org/10.1038/s41598-020-76823-7 SN - 2045-2322 VL - 10 ER - TY - GEN A1 - Perez, Eduardo A1 - Maldonado, David A1 - Acal, Christian A1 - Ruiz-Castro, Juan Eloy A1 - Aguilera, Ana María A1 - Jimenez-Molinos, Francisco A1 - Roldan, Juan Bautista A1 - Wenger, Christian T1 - Advanced Temperature Dependent Statistical Analysis of Forming Voltage Distributions for Three Different HfO2-Based RRAM Technologies T2 - Solid State Electronics N2 - In this work, voltage distributions of forming operations are analyzed by using an advanced statistical approach based on phase-type distributions (PHD). The experimental data were collected from batches of 128 HfO2-based RRAM devices integrated in 4-kbit arrays. Three di erent switching oxides, namely, polycrystalline HfO2, amorphous HfO2, and Al-doped HfO2, were tested in the temperature range from -40 to 150 oC. The variability of forming voltages has been usually studied by using the Weibull distribution (WD). However, the performance of the PHD analysis demonstrated its ability to better model this crucial operation. The capacity of the PHD to reproduce the experimental data has been validated by means of the Kolmogorov-Smirnov test, while the WD failed in many of the cases studied. In addition, PHD allows to extract information about intermediate probabilistic states that occur in the forming process and the transition probabilities between them; in this manner, we can deepen on the conductive lament formation physics. In particular, the number of intermediate states can be related to the device variability. KW - RRAM KW - HfO2 Y1 - 2021 SN - 0038-1101 SN - 1879-2405 VL - 176 ER - TY - GEN A1 - Lukosius, Mindaugas A1 - Lukose, Rasuolė A1 - Lisker, Marco A1 - Luongo, G. A1 - Elviretti, M. A1 - Mai, Andreas A1 - Wenger, Christian T1 - Graphene Research in 200 mm CMOS Pilot Line T2 - 45th Jubilee International Convention on Information, Communication and Electronic Technology (MIPRO), 2022 N2 - Due to the unique electronic structures, graphene and other 2D Materials are considered as materials which can enable and extend the functionalities and performance in a large variety of applications, among them in microelectronics. At this point, the investigation and preparation of graphene devices in conditions resembling as close as possible the Si technology environment is of highest importance.Towards these goals, this paper focuses on the full spectra of graphene research aspects in 200mm pilot line. We investigated different process module developments such as CMOS compatible growth of high quality graphene on germanium and its growth mechanisms, transfer related challenges on target substrates, patterning, passivation and various concepts of contacting of graphene on a full 200 mm wafers. Finally, we fabricated proof-of-concept test structures e.g. TLM, Hall bars and capacitor structures to prove the feasibility of graphene processing in the pilot line of IHP. KW - Graphene KW - CMOS Y1 - 2022 SN - 978-953-233-103-5 SN - 978-953-233-102-8 SN - 978-1-6654-8434-3 U6 - https://doi.org/10.23919/MIPRO55190.2022.9803362 SN - 2623-8764 SN - 1847-3938 SP - 113 EP - 117 ER - TY - GEN A1 - Baroni, Andrea A1 - Zambelli, Cristian A1 - Olivo, Piero A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Ielmini, Daniele T1 - Tackling the Low Conductance State Drift through Incremental Reset and Verify in RRAM Arrays T2 - 2021 IEEE International Integrated Reliability Workshop (IIRW), South Lake Tahoe, CA, USA, 10 December 2021 N2 - Resistive switching memory (RRAM) is a promising technology for highly efficient computing scenarios. RRAM arrays enabled the acceleration of neural networks for artificial intelligence and the creation of In-Memory Computing circuits. However, the arrays are affected by several issues materializing in conductance variations that might cause severe performance degradation in those applications. Among those, one is related to the drift of the low conductance states appearing immediately at the end of program and verify algorithms that are fundamental for an accurate Multi-level conductance operation. In this work, we tackle the issue by developing an Incremental Reset and Verify technique showing enhanced variability and reliability features compared with a traditional refresh-based approach. KW - RRAM KW - resistive switching KW - neural network Y1 - 2021 SN - 978-1-6654-1794-5 SN - 978-1-6654-1795-2 U6 - https://doi.org/10.1109/IIRW53245.2021.9635613 SN - 2374-8036 PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Milo, Valerio A1 - Anzalone, Francesco A1 - Zambelli, Cristian A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Ossorio, Óscar G. A1 - Olivo, Piero A1 - Wenger, Christian A1 - Ielmini, Daniele T1 - Optimized programming algorithms for multilevel RRAM in hardware neural networks T2 - IEEE International Reliability Physics Symposium (IRPS), 2021 N2 - A key requirement for RRAM in neural network accelerators with a large number of synaptic parameters is the multilevel programming. This is hindered by resistance imprecision due to cycle-to-cycle and device-to-device variations. Here, we compare two multilevel programming algorithms to minimize resistance variations in a 4-kbit array of HfO 2 RRAM. We show that gate-based algorithms have the highest reliability. The optimized scheme is used to implement a neural network with 9-level weights, achieving 91.5% (vs. software 93.27%) in MNIST recognition. KW - RRAM KW - Multilevel switching KW - neural network KW - memristive switching Y1 - 2021 SN - 978-1-7281-6894-4 U6 - https://doi.org/10.1109/IRPS46558.2021.9405119 SN - 1938-1891 ER - TY - GEN A1 - Strobel, Carsten A1 - Alvarado Chavarin, Carlos A1 - Völkel, Sandra A1 - Jahn, Andreas A1 - Hiess, Andre A1 - Knaut, Martin A1 - Albert, Matthias A1 - Wenger, Christian A1 - Steinke, Olaff A1 - Stephan, Ulf A1 - Röhlecke, Sören A1 - Mikolajick, Thomas T1 - Enhanced Electrical Properties of Optimized Vertical Graphene-Base Hot Electron Transistors T2 - ACS Applied Electronic Materials N2 - The arrival of high-mobility two-dimensional materials like graphene leads to the renaissance of former vertical semiconductor–metal–semiconductor (SMS) hot electron transistors. Because of the monolayer thickness of graphene, improved SMS transistors with a semimetallic graphene-base electrode are now feasible for high-frequency applications. In this study we report about a device that consists of amorphous silicon, graphene, and crystalline silicon. For the first time, this device is fabricated by a four-mask lithography process which leads to significant improvements in the device performance. A strongly increased common-emitter current gain of 2% could be achieved while the on–off ratio improved to 1.6 × 105, which is already higher than predicted theoretically. This could be mainly attributed to better interface characteristics and decreased lateral dimensions of the devices. A cutoff frequency of approximately 26 MHz could be forecasted based on the DC measurements of the device. KW - Graphene KW - Transistor Y1 - 2023 U6 - https://doi.org/10.1021/acsaelm.2c01725 SN - 2637-6113 VL - 5 IS - 3 SP - 1670 EP - 1675 ER - TY - GEN A1 - Mai, Christian A1 - Marschmeyer, Steffen A1 - Peczek, Anna A1 - Kroh, Aleksandra A1 - Jose, Josmy A1 - Reiter, Sebastian A1 - Fischer, Inga Anita A1 - Wenger, Christian A1 - Mai, Andreas T1 - Integration Aspects of Plasmonic TiN-based Nano-Hole-Arrays on Ge Photodetectorsin a 200mm Wafer CMOS Compatible Silicon Technology T2 - ECS Transactions N2 - In this work we present the progress in regard to the integration of a surface plasmon resonance refractive index sensor into a CMOS compatible 200 mm wafer silicon-based technology. Our approach pursues the combination of germanium photodetectors with metallic nanohole arrays. The paper is focused on the technology development to fabricate large area photodetectors based on a modern design concept. In a first iteration we achieved a leakage current density of 82 mA/cm2 at reverse bias of 0.5 V and a maximum optical responsivity of 0.103 A/W measured with TE polarized light at λ = 1310 nm and a reversed bias of 1 V. For the realization of nanohole arrays we used thin Titanium nitride (TiN) layers deposited by a sputtering process. We were able to produce very homogenous TiN layers with a thickness deviation of around 10 % and RMS of 1.413 nm for 150 nm thick TiN layers. KW - plasmonics KW - nanohole array KW - germanium detector Y1 - 2022 U6 - https://doi.org/10.1149/10904.0035ecst SN - 1938-5862 VL - 109 IS - 4 SP - 35 EP - 46 ER - TY - GEN A1 - Strobel, Carsten A1 - Alvarado Chavarin, Carlos A1 - Richter, Karola A1 - Knaut, Martin A1 - Reif, Johanna A1 - Völkel, Sandra A1 - Jahn, Andreas A1 - Albert, Matthias A1 - Wenger, Christian A1 - Kirchner, Robert A1 - Bartha, Johann Wolfgang A1 - Mikolajick, Thomas T1 - Novel Graphene Adjustable-Barrier Transistor with Ultra-High Current Gain T2 - ACS Applied Materials & Interfaces N2 - A graphene-based three terminal barristor device was proposed to overcome the low on/off ratios and insufficient current saturation of conventional graphene field effect transistors. In this study, we fabricated and analyzed a novel graphene-based transistor, which resembles the structure of the barristor but uses a different operating condition. This new device, termed graphene adjustable-barriers transistor (GABT), utilizes a semiconductor-based gate rather than a metal−insulator gate structure to modulate the device currents. The key feature of the device is the two graphene-semiconductor Schottky barriers with different heights that are controlled simultaneously by the gate voltage. Due to the asymmetry of the barriers, the drain current exceeds the gate current by several orders of magnitude. Thus, the GABT can be considered an amplifier with an alterable current gain. In this work, a silicon−graphene−germanium GABT with an ultra-high current gain (ID/IG up to 8 × 106) was fabricated, and the device functionality was demonstrated. Additionally, a capacitance model is applied to predict the theoretical device performance resulting in an on−off ratio above 106, a swing of 87 mV/dec, and a drivecurrent of about 1 × 106 A/cm2. KW - Graphene KW - Transistor Y1 - 2022 U6 - https://doi.org/10.1021/acsami.2c10634 SN - 1944-8244 SN - 1944-8252 VL - 14 IS - 34 SP - 39249 EP - 39254 ER - TY - GEN A1 - Zöllner, Marvin Hartwig A1 - Dabrowski, Jarek Marek A1 - Zaumseil, Peter A1 - Giussani, A. A1 - Schubert, Markus Andreas A1 - Lupina, Grzegorz A1 - Wilkens, Henrik A1 - Wollschläger, Joachim A1 - Bäumer, Marcus A1 - Reichling, M. A1 - Schröder, Thomas T1 - On the unusual stacking behavior of twin-free, type B oriented CeO2(111) films on hexagonal Pr2O3(0001) / Si(111) systems T2 - Physical Review Y1 - 2012 UR - http://link.aps.org/doi/10.1103/PhysRevB.85.035302 U6 - https://doi.org/10.1103/PhysRevB.85.035302 SN - 1098-0121 VL - 85 IS - 3 SP - 035302 EP - 035311 ER - TY - GEN A1 - Wilkens, Henrik A1 - Spieß, Wanja A1 - Zöllner, Marvin Hartwig A1 - Niu, Gang A1 - Schroeder, Thomas A1 - Wollschläger, Joachim T1 - Post deposition annealing of epitaxial Ce1−xPrxO2−δ films grown on Si(111) T2 - Physical Chemistry Chemical Physics Y1 - 2015 U6 - https://doi.org/10.1039/C6CP01553K SN - 1463-9084 SN - 1463-9076 VL - 17 SP - 9991 EP - 9996 ER - TY - GEN A1 - Niu, Gang A1 - Zöllner, Marvin Hartwig A1 - Schröder, Thomas A1 - Schäfer, Andreas A1 - Jhang, Jin-Hao A1 - Zielasek, Volkmar A1 - Bäumer, Marcus A1 - Wilkens, Henrik A1 - Wollschläger, Joachim A1 - Olbrich, Reinhard A1 - Lammers, Christian A1 - Reichling, Michael T1 - Controlling the physics and chemistry of binary and ternary praseodymium and cerium oxide systems T2 - Physical Chemistry Chemical Physics Y1 - 2015 U6 - https://doi.org/10.1039/C5CP02283E SN - 1463-9084 SN - 1463-9076 VL - 17 IS - 38 SP - 24513 EP - 24540 ER - TY - GEN A1 - Niu, Gang A1 - Zöllner, Marvin Hartwig A1 - Zaumseil, Peter A1 - Pouliopoulos, A. A1 - d'Acapito, F. A1 - Schröder, Thomas A1 - Boscherini, F. T1 - X-ray diffraction and extended X-ray absorption fine structure study of epitaxial mixed ternary bixbyite PrxY2-xO3 (x=0-2) films on Si (111) T2 - Journal of Applied Physics Y1 - 2013 SN - 0021-8979 VL - 113 IS - 4 SP - 043504 EP - 043506 ER - TY - GEN A1 - Olbrich, R. A1 - Wilkens, Henrik A1 - Oelke, R. A1 - Wollschläger, Joachim A1 - Zöllner, Marvin Hartwig A1 - Schroeder, Thomas A1 - Reichling, M. T1 - A well-structured metastable ceria surface T2 - Applied Physics Letters Y1 - 2014 U6 - https://doi.org/10.1063/1.4866667 VL - 104 IS - 8 SP - 081910-1 EP - 081910-4 ER - TY - GEN A1 - Kuschel, Olga A1 - Dieck, Florian A1 - Wilkens, Henrik A1 - Gevers, Sebastian A1 - Rodewald, Jari A1 - Otte, Christian A1 - Zöllner, Marvin Hartwig A1 - Niu, Gang A1 - Schröder, Thomas A1 - Wollschläger, Joachim T1 - Plasma Enhanced Complete Oxidtation of Ultrathin Epitaxial Praseodymia Films on Si(111) T2 - Materials Y1 - 2015 U6 - https://doi.org/10.3390/ma8095312 SN - 1996-1944 VL - 8 IS - 9 SP - 6379 EP - 6390 ER - TY - GEN A1 - Wilkens, Henrik A1 - Schuckmann, O. A1 - Oelke, R. A1 - Gevers, Sebastian A1 - Reichling, M. A1 - Schaefer, A. A1 - Bäumer, Marcus A1 - Zöllner, Marvin Hartwig A1 - Niu, Gang A1 - Schroeder, Thomas A1 - Wollschläger, Joachim T1 - Structural transitions of epitaxial ceria films on Si(111) T2 - Physical Chemistry Chemical Physics Y1 - 2013 SN - 1463-9084 VL - 15 IS - 42 SP - 18589 EP - 18599 ER - TY - GEN A1 - Niu, Gang A1 - Zaumseil, Peter A1 - Schubert, Markus Andreas A1 - Zöllner, Marvin Hartwig A1 - Dabrowski, Jarek Marek A1 - Schroeder, Thomas T1 - Lattice-matched single crystalline ternary PrxY2-xO3 films on SrO-passivated Si (001): interface engineering and crystallography tailoring T2 - Applied Physics Letters Y1 - 2013 VL - 102 SP - 011906-1 EP - 011906-5 ER - TY - GEN A1 - Wilkens, Henrik A1 - Rodewald, Jari A1 - Gevers, Sebastian A1 - Zöllner, Marvin Hartwig A1 - Schroeder, Thomas A1 - Wollschläger, Joachim T1 - Surface morphology of ultra-thin hex-Pr2O3 films on Si(111) T2 - Journal of Physics : D, Applied Physics Y1 - 2013 SN - 0022-3727 VL - 46 IS - 28 SP - 285306 EP - 285313 ER - TY - GEN A1 - Chahine, Gilbert A. A1 - Zöllner, Marvin Hartwig A1 - Richard, Marie-Ingrid A1 - Subhajit, G. A1 - Zaumseil, Peter A1 - Capellini, Giovanni A1 - Schroeder, Thomas A1 - Schülli, Tobias U. T1 - Strain and Lattice Orientation Distribution in SiN/Ge CMOS Compatible Light Emitters Microstructures by Quick X-ray Nano-diffraction Microscopy T2 - Applied Physics Letters Y1 - 2015 U6 - https://doi.org/10.1063/1.4909529 SN - 1077-3118 VL - 106 SP - 071902-1 EP - 071902-4 ER - TY - GEN A1 - Zöllner, Marvin Hartwig A1 - Zaumseil, Peter A1 - Wilkens, Henrik A1 - Gevers, Sebastian A1 - Wollschläger, Joachim A1 - Bäumer, Marcus A1 - Xie, Ya-Hong A1 - Niu, Gang A1 - Schroeder, Thomas T1 - Stoichiometry-structure correlation of epitaxial Ce1-xPrxO2-δ (x = 0-1) thin films on Si(111) T2 - Journal of Crystal Growth Y1 - 2012 SN - 0022-0248 VL - 355 IS - 1 SP - 159 EP - 165 ER - TY - GEN A1 - Zaumseil, Peter A1 - Yamamoto, Yuji A1 - Schubert, M. A1 - Capellini, Giovanni A1 - Skibitzki, Oliver A1 - Zöllner, Marvin Hartwig A1 - Schroeder, Thomas T1 - Tailoring the strain in Si nano-structures for defect-free epitaxial Ge overgrowth T2 - Nanotechnology Y1 - 2015 U6 - https://doi.org/10.1088/0957-4484/26/35/355707 SN - 1361-6528 VL - 26 IS - 35 SP - 355707 ER - TY - GEN A1 - Wilkens, Henrik A1 - Schuckmann, O. A1 - Oelke, R. A1 - Gevers, Sebastian A1 - Schaefer, A. A1 - Bäumer, Marcus A1 - Zöllner, Marvin Hartwig A1 - Schroeder, Thomas A1 - Wollschläger, Joachim T1 - Stabilization of the ceria Jota-phase Ce7O12 surface on Si(111) T2 - Applied Physics Letters Y1 - 2013 SN - 0003-6951 VL - 102 IS - 11 SP - 111602-1 EP - 111602-3 ER - TY - GEN A1 - Zöllner, Marvin Hartwig A1 - Niu, Gang A1 - Jhang, Jin-Hao A1 - Schäfer, Andreas A1 - Zaumseil, Peter A1 - Bäumer, Marcus A1 - Schröder, Thomas T1 - Temperature dependent reduction of epitaxial Ce1-xPrxO2-δ (x = 0-1) thin films on Si(111): A combined TPD, XRD, XPS and Raman study T2 - Journal of Physical Chemistry : C Y1 - 2013 U6 - https://doi.org/10.1021/jp4082867 SN - 1932-7455 VL - 117 IS - 47 SP - 24851 EP - 24857 ER - TY - GEN A1 - Zaumseil, Peter A1 - Yamamoto, Yuji A1 - Bauer, Joachim A1 - Schubert, Markus Andreas A1 - Matejova, Jana A1 - Kozłowski, Grzegorz A1 - Schröder, Thomas A1 - Tillack, Bernd T1 - Preparation and Characterization of Ge Epitaxially Grown on Nano-structured Periodic Si Pillars and Bars on Si(001) Substrate T2 - Thin Solid Films Y1 - 2012 U6 - https://doi.org/10.1016/j.tsf.2011.10.178 SN - 0040-6090 VL - 520 IS - 8 SP - 3240 EP - 3244 ER - TY - GEN A1 - Kozłowski, Grzegorz A1 - Zaumseil, Peter A1 - Schubert, Markus Andreas A1 - Yamamoto, Yuji A1 - Schülli, Tobias U. A1 - Tillack, Bernd A1 - Schroeder, Thomas T1 - Growth and relaxation processes in Ge nanocrystals on free-standing Si(001) nanopillars T2 - Nanotechnology Y1 - 2012 U6 - https://doi.org/10.1088/0957-4484/23/11/115704 SN - 0957-4484 VL - 23 IS - 11 SP - 115704 EP - 115711 ER - TY - GEN A1 - Grossi, Alessandro A1 - Walczyk, Damian A1 - Zambelli, Cristian A1 - Miranda, Enrique A1 - Olivo, Piero A1 - Stikanov, V. A1 - Feriani, A. A1 - Sune, J. A1 - Schoof, Gunther A1 - Kraemer, Rolf A1 - Tillack, Bernd A1 - Fox, A. A1 - Schroeder, Thomas A1 - Walczyk, Christian T1 - Impact of Intercell and Intracell Variability on Forming and Switching Parameters in RRAM Arrays T2 - IEEE Transaction on Electronic Devices Y1 - 2015 U6 - https://doi.org/10.1109/TED.2015.2442412 SN - 0018-9383 VL - 62 IS - 8 SP - 2502 EP - 2509 ER - TY - GEN A1 - Fritscher, Markus A1 - Knödtel, Johannes A1 - Mallah, Maen A1 - Pechmann, Stefan A1 - Perez-Bosch Quesada, Emilio A1 - Rizzi, Tommaso A1 - Wenger, Christian A1 - Reichenbach, Marc T1 - Mitigating the Effects of RRAM Process Variation on the Accuracy of Artifical Neural Networks T2 - Embedded Computer Systems: Architectures, Modeling, and Simulation. SAMOS 2021. Lecture Notes in Computer Science N2 - Weight storage is a key challenge in the efficient implementation of artificial neural networks. Novel memory technologies such as RRAM are able to greatly improve density and introduce non-volatility and multibit capabilities to this component of ANN accelerators. The usage of RRAM in this domain comes with downsides, mainly caused by cycle-to-cycle and device-to-device variability leading to erroneous readouts, greatly affecting digital systems. ANNs have the ability to compensate for this by their inherent redundancy and usually exhibit a gradual deterioration in the accuracy of the task at hand. This means, that slight error rates can be acceptable for weight storage in an ANN accelerator. In this work we link device-to-device variability to the accuracy of an ANN for such an accelerator. From this study, we can estimate how strongly a certain net is affected by a certain device parameter variability. This methodology is then used to present three mitigation strategies and to evaluate how they affect the reaction of the network to variability: a) Dropout Layers b) Fault-Aware Training c) Redundancy. These mitigations are then evaluated by their ability to improve accuracy and to lower hardware overhead by providing data for a real-word example. We improved this network’s resilience in such a way that it could tolerate double the variation in one of the device parameters (standard deviation of the oxide thickness can be 0.4 nm instead of 0.2 nm while maintaining sufficient accuracy.) KW - RRAM KW - memristive device KW - neural network Y1 - 2022 SN - 978-3-031-04579-0 SN - 978-3-031-04580-6 U6 - https://doi.org/10.1007/978-3-031-04580-6_27 SN - 0302-9743 SN - 1611-3349 SP - 401 EP - 417 PB - Springer ER - TY - GEN A1 - Sana, Prabha A1 - Tetzner, Henriette A1 - Dabrowski, Jarek Marek A1 - Tarnawska, Lidia Lupina A1 - Costina, Ioan A1 - Thapa, Sarad A1 - Storck, Peter A1 - Schröder, Thomas A1 - Zöllner, Marvin Hartwig T1 - Growth of ScN(111) on Sc₂O₃ (111) for GaN integration on Si(111): Experiment and ab initio calculations T2 - Journal of Applied Physics Y1 - 2016 U6 - https://doi.org/10.1063/1.4963826 SN - 1089-7550 SN - 0021-8979 VL - 120 SP - 135103 ER - TY - GEN A1 - Capellini, Giovanni A1 - Kozłowski, Grzegorz A1 - Yamamoto, Yuji A1 - Lisker, Marco A1 - Tillack, Bernd A1 - Ghrib, A. A1 - Kersauson, M. de A1 - El Kurdi, M. A1 - Boucaud, P. A1 - Schroeder, Thomas T1 - Tensile strained Ge layers obtained via Si-CMOS compatible approach T2 - Journal of Applied Physics Y1 - 2013 VL - 113 IS - 1 SP - 013513-1 EP - 013513-6 ER - TY - GEN A1 - Zaumseil, Peter A1 - Kozłowski, Grzegorz A1 - Schubert, Markus Andreas A1 - Yamamoto, Yuji A1 - Bauer, J. A1 - Schülli, Tobias U. A1 - Tillack, Bernd A1 - Schroeder, Thomas T1 - The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nanopillars T2 - Nanotechnology Y1 - 2012 SN - 1361-6528 VL - 23 IS - 35 SP - 355706 ER - TY - GEN A1 - Bauer, J. A1 - Yamamoto, Yuji A1 - Zaumseil, Peter A1 - Fursenko, Oksana A1 - Schulz, K. A1 - Kozłowski, Grzegorz A1 - Schubert, Markus Andreas A1 - Schroeder, Thomas A1 - Tillack, Bernd T1 - Nanostructured Silicon for Ge Nanoheteroepitaxy T2 - Microelectronics Engineering Y1 - 2012 SN - 0167-9317 VL - 97 SP - 169 EP - 172 ER - TY - GEN A1 - Pieper, H. H. A1 - Derks, C. A1 - Zöllner, Marvin Hartwig A1 - Olbrich, R. A1 - Troeger, L. A1 - Schroeder, Thomas A1 - Neumann, M. A1 - Reichling, M. T1 - Morphology and nanostructure of CeO2(111) surfaces of single crystals and of Si(111) supported ceria films, T2 - Physical Chemistry Chemical Physics Y1 - 2012 U6 - https://doi.org/10.1039/C2CP42733H SN - 1463-9084 VL - 14 IS - 44 SP - 15361 EP - 15368 ER - TY - GEN A1 - Pechmann, Stefan A1 - Mai, Timo A1 - Völkel, Matthias A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Perez, Eduardo A1 - Perez-Bosch Quesada, Emilio A1 - Reichenbach, Marc A1 - Wenger, Christian A1 - Hagelauer, Amelie T1 - A Versatile, Voltage-Pulse Based Read and Programming Circuit for Multi-Level RRAM Cells T2 - Electronics N2 - In this work, we present an integrated read and programming circuit for Resistive Random Access Memory (RRAM) cells. Since there are a lot of different RRAM technologies in research and the process variations of this new memory technology often spread over a wide range of electrical properties, the proposed circuit focuses on versatility in order to be adaptable to different cell properties. The circuit is suitable for both read and programming operations based on voltage pulses of flexible length and height. The implemented read method is based on evaluating the voltage drop over a measurement resistor and can distinguish up to eight different states, which are coded in binary, thereby realizing a digitization of the analog memory value. The circuit was fabricated in the 130 nm CMOS process line of IHP. The simulations were done using a physics-based, multi-level RRAM model. The measurement results prove the functionality of the read circuit and the programming system and demonstrate that the read system can distinguish up to eight different states with an overall resistance ratio of 7.9. KW - RRAM KW - Multilevel switching KW - Programming circuit Y1 - 2021 U6 - https://doi.org/10.3390/electronics10050530 SN - 2079-9292 VL - 10 IS - 5 ER - TY - GEN A1 - Skibitzki, Oliver A1 - Hatami, Fariba A1 - Yamamoto, Yuji A1 - Zaumseil, Peter A1 - Trampert, A. A1 - Schubert, Markus Andreas A1 - Tillack, Bernd A1 - Schroeder, Thomas T1 - GaP collector development for SiGe HBT performance increase: A heterostructure growth study T2 - Journal of Applied Physics Y1 - 2012 U6 - https://doi.org/10.1063/1.3701583 SN - 0021-8979 VL - 111 IS - 7 SP - 073515 EP - 073515-9 ER - TY - GEN A1 - Zaumseil, Peter A1 - Kozłowski, Grzegorz A1 - Yamamoto, Yuji A1 - Bauer, J. A1 - Schubert, Markus Andreas A1 - Schülli, Tobias U. A1 - Tillack, Bernd A1 - Schroeder, Thomas T1 - Compliant Si nanostructures on SOI for Ge nanoheteroepitaxy – a case study for lattice mismatched semiconductor integration on Si(001) T2 - Journal of Applied Physics Y1 - 2012 SN - 0021-8979 VL - 112 IS - 4 SP - 043506 EP - 043511 ER - TY - GEN A1 - Richard, Marie-Ingrid A1 - Zöllner, Marvin Hartwig A1 - Chahine, Gilbert A. A1 - Zaumseil, Peter A1 - Capellini, Giovanni A1 - Häberlen, Maik A1 - Storck, Peter A1 - Schülli, Tobias U. A1 - Schröder, Thomas T1 - Structural Mapping of Functional Ge Layers Grown on Graded SiGe Buffers for sub-10 nm CMOS Applications Using Advanced X-ray Nano-Diffraction T2 - ACS Applied Materials and Interfaces Y1 - 2015 U6 - https://doi.org/10.1021/acsami.5b08645 SN - 1944-8244 SN - 1944-8252 VL - 7 IS - 48 SP - 26696 EP - 26700 ER - TY - GEN A1 - Reiser, Daniel A1 - Reichenbach, Marc A1 - Rizzi, Tommaso A1 - Baroni, Andrea A1 - Fritscher, Markus A1 - Wenger, Christian A1 - Zambelli, Cristian A1 - Bertozzi, Davide T1 - Technology-Aware Drift Resilience Analysis of RRAM Crossbar Array Configurations T2 - 21st IEEE Interregional NEWCAS Conference (NEWCAS), 26-28 June 2023, Edinburgh, United Kingdom N2 - In-memory computing with resistive-switching random access memory (RRAM) crossbar arrays has the potential to overcome the major bottlenecks faced by digital hardware for data-heavy workloads such as deep learning. However, RRAM devices are subject to several non-idealities that result in significant inference accuracy drops compared with software baseline accuracy. A critical one is related to the drift of the conductance states appearing immediately at the end of program and verify algorithms that are mandatory for accurate multi-level conductance operation. The support of drift models in state-of-the-art simulation tools of memristive computationin-memory is currently only in the early stage, since they overlook key device- and array-level parameters affecting drift resilience such as the programming algorithm of RRAM cells, the choice of target conductance states and the weight-toconductance mapping scheme. The goal of this paper is to fully expose these parameters to RRAM crossbar designers as a multi-dimensional optimization space of drift resilience. For this purpose, a simulation framework is developed, which comes with the suitable abstractions to propagate the effects of those RRAM crossbar configuration parameters to their ultimate implications over inference performance stability. KW - RRAM Y1 - 2023 SN - 979-8-3503-0024-6 SN - 979-8-3503-0025-3 U6 - https://doi.org/10.1109/NEWCAS57931.2023 PB - IEEE CY - Piscataway, NJ ER - TY - GEN A1 - Zöllner, Marvin Hartwig A1 - Richard, Marie-Ingrid A1 - Chahine, Gilbert A. A1 - Zaumseil, Peter A1 - Reich, Christian A1 - Capellini, Giovanni A1 - Montalenti, Francesco A1 - Marzegalli, Anna A1 - Xie, Ya-Hong A1 - Schülli, Tobias U. A1 - Häberlen, Maik A1 - Storck, Peter A1 - Schroeder, Thomas T1 - Imaging structure and composition homogeneity of 300 mm SiGe virtual substrates for advanced CMOS applications by scanning X-ray diffraction microscopy T2 - ACS Applied Materials and Interfaces Y1 - 2015 U6 - https://doi.org/10.1021/am508968b SN - 1944-8252 VL - 7 IS - 17 SP - 9031 EP - 9037 ER - TY - GEN A1 - Tarnawska, Lidia Lupina A1 - Zöllner, Marvin Hartwig A1 - Niermann, T. A1 - Dietrich, Burkhart A1 - Capellini, Giovanni A1 - Thapa, Sarad A1 - Häberlen, Maik A1 - Lehmann, M. A1 - Storck, Peter A1 - Schröder, Thomas T1 - Zero Lattice Mismatch and Truly Single Crystalline ScN Buffer Layers for GaN Growth on Silicon T2 - Applied Physics Letters Y1 - 2015 U6 - https://doi.org/10.1063/1.4935856 SN - 1077-3118 SN - 0003-6951 VL - 107 IS - 20 SP - 201907 ER - TY - GEN A1 - Zaumseil, Peter A1 - Yamamoto, Yuji A1 - Schubert, Markus Andreas A1 - Schröder, Thomas A1 - Tillack, Bernd T1 - Heteroepitaxial Growth of Ge on compliant strained nano-structured Si lines and dots on (001) SOI substrates T2 - Thin Solid Films Y1 - 2014 SN - 0040-6090 VL - 557 SP - 50 EP - 54 ER - TY - GEN A1 - Uhlmann, Max A1 - Pérez-Bosch Quesada, Emilio A1 - Fritscher, Markus A1 - Pérez, Eduardo A1 - Schubert, Markus Andreas A1 - Reichenbach, Marc A1 - Ostrovskyy, Philip A1 - Wenger, Christian A1 - Kahmen, Gerhard T1 - One-Transistor-Multiple-RRAM Cells for Energy-Efficient In-Memory Computing T2 - 21st IEEE Interregional NEWCAS Conference (NEWCAS) N2 - The use of resistive random-access memory (RRAM) for in-memory computing (IMC) architectures has significantly improved the energy-efficiency of artificial neural networks (ANN) over the past years. Current RRAM-technologies are physically limited to a defined unambiguously distinguishable number of stable states and a maximum resistive value and are compatible with present complementary metal-oxide semiconductor (CMOS)-technologies. In this work, we improved the accuracy of current ANN models by using increased weight resolutions of memristive devices, combining two or more in-series RRAM cells, integrated in the back end of line (BEOL) of the CMOS process. Based on system level simulations, 1T2R devices were fabricated in IHP's 130nm SiGe:BiCMOS technology node, demonstrating an increased number of states. We achieved an increase in weight resolution from 3 bit in ITIR cells to 6.5 bit in our 1T2R cell. The experimental data of 1T2R devices gives indications for the performance and energy-efficiency improvement in ITNR arrays for ANN applications. KW - RRAM KW - In-Memory Computing Y1 - 2023 SN - 979-8-3503-0024-6 SN - 979-8-3503-0025-3 U6 - https://doi.org/10.1109/NEWCAS57931.2023.10198073 SN - 2474-9672 SN - 2472-467X PB - Institute of Electrical and Electronics Engineers (IEEE) ER -