TY - CHAP A1 - Galke, Christian A1 - Kothe, René A1 - Schultke, Sabine A1 - Winkler, Christin A1 - Honko, Jeanette A1 - Vierhaus, Heinrich Theodor T1 - Embedded Scan Test with Diagnostic Features for Self-Testing SoCs T2 - Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006 KW - ICs KW - Test KW - Selbsttest Y1 - 2006 SN - 978-0-7695-2620-1 N1 - IEEE Computer Society SP - 181 EP - 182 PB - IEEE Computer Society CY - Los Alamitos, Calif. [u.a.] ER - TY - GEN A1 - Knödtel, Johannes A1 - Rachuj, Sebastian A1 - Reichenbach, Marc T1 - Suitability of ISAs for Data Paths Based on Redundant Number Systems: Is RISC-V the best? T2 - 25th Euromicro Conference on Digital System Design (DSD), 31 August 2022 - 02 September 2022, Maspalomas, Spain Y1 - 2022 U6 - https://doi.org/10.1109/DSD57027.2022.00041 SP - 247 EP - 253 PB - IEEE ER - TY - GEN A1 - Fouad, Mariam A1 - Metwally, Yousef A1 - Schmitz, Georg A1 - Hübner, Michael A1 - Abd El Ghany, Mohamed A. T1 - Deep Learning Utilization In Beamforming Enhancement for Medical Ultrasound T2 - 2020 IEEE 44th Annual Computers, Software, and Applications Conference (COMPSAC), 13-17 July 2020, Madrid, Spain Y1 - 2020 SN - 978-1-7281-7303-0 U6 - https://doi.org/10.1109/COMPSAC48688.2020.0-175 SP - 717 EP - 722 PB - IEEE ER - TY - GEN A1 - Brandalero, Marcelo A1 - Carro, Luigi A1 - Beck, Antonio Carlos Schneider A1 - Shafique, Muhammad T1 - Multi-Target Adaptive Reconfigurable Acceleration for Low-Power IoT Processing T2 - IEEE Transactions on Computers Y1 - 2020 U6 - https://doi.org/10.1109/TC.2020.2984736 SN - 0018-9340 SN - 1557-9956 ER - TY - GEN A1 - Veleski, Mitko A1 - Petrovic, Vladimir A1 - Stamenkovic, Zoran T1 - A Satellite Internal Communication Controller: Design and Implementation T2 - Advances in Circuits, Systems, Automation and Mechanics : 11th WSEAS International Conference on Circuits, Systems Electronics, Control and Signal Processing, Montreux Y1 - 2012 UR - https://www.researchgate.net/publication/235652387_A_Satellite_Internal_Communication_Controller_Design_and_Implementation SN - 978-1-61804-146-3 SP - 87 EP - 90 ER - TY - THES A1 - Scheit, Daniel T1 - Fault-tolerant integrated interconnections based on built-in self-repair and codes KW - Test KW - Zuverlässigkeit KW - Fehlertoleranz KW - Selbstreparatur Y1 - 2011 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-22679 ER - TY - CHAP A1 - Galke, Christian A1 - Gätzschmann, Uwe A1 - Vierhaus, Heinrich Theodor T1 - Scan-Based SoC Test Using Space / Time Pattern Compaction Schemes N2 - Konferenzbeitrag 9. EuroMicro-Conference on Digital System Design (DSD 2006),Sept. 2006, Dubrovnik KW - ICs KW - SoCs KW - Test KW - Test Compression Y1 - 2006 N1 - IEEE Computer Society ER - TY - GEN A1 - Gleichner, Christian A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Effiziente Verfahren der Selbstreparatur von Logik N2 - 22. ITG/GI/GMM Workshop "Testmethoden und Zuverlässigkeit von Schaltungen und Systemen", 28.Feb.-02.März 2010 Y1 - 2010 ER - TY - CHAP A1 - Scheit, Daniel A1 - Vierhaus, Heinrich Theodor T1 - Fehlertolerante Busse basierend auf Codes und Selbstreparatur N2 - Tagungsbeitrag 2. GMM/GI/ITG-Arbeitstagung "Zuverlässigkeit und Entwurf", Ingolstadt, Sept. 2008 KW - ICs KW - SoCs KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2008 N1 - VDI / VDE ER - TY - CHAP A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor T1 - Embedded Fault Diagnosis Exploiting Regularity N2 - Tagungsbeitrag IEEE SPA07, Poznan KW - ICs KW - SoCs KW - Fehler KW - Test KW - Diagnose Y1 - 2007 N1 - BTU ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor ED - Raik, Jaan T1 - An Adaptive Self-Test Routine for In-Field Diagnosis of Permanent Faults in Simple RISC Cores T2 - Proceedings, 15th IEEE Interantional Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Tallinn, April 2012 Y1 - 2012 SN - 978-1-4673-1185-4 SP - 312 EP - 317 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Adaptiver softwarebasierter Selbsttest einfacher RISC-Prozessoren zur Lokalisierung von permanenten Fehlern im Feld T2 - Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), Dresden, Mai 2012, Tagungsband Y1 - 2012 SN - 978-3-8396-0404-5 SP - 150 EP - 155 PB - Fraunhofer IIS, Institutsteil EAS [u.a.] CY - Dresden ER - TY - CHAP A1 - Gleichner, Christian A1 - Vierhaus, Heinrich Theodor A1 - Engelke, Piet T1 - Scan Based Tests Via Standard Interfaces T2 - 15th Euromicro Conference on Digital System Design (DSD), September 2012 Y1 - 2012 SN - 978-0-7695-4798-5 SN - 978-1-4673-2498-4 SP - 844 EP - 851 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Misera, Silvio A1 - Sieber, Andre T1 - Fehlerinjektionstechniken in SystemC-Beschreibungen mit Gate- und Switch-Level-Verhalten T2 - Tagungsband, Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2007), 8. - 9. Mai 2007 Y1 - 2007 SN - 978-3-940046-28-4 SP - 29 EP - 34 PB - TUDpress CY - Dresden ER - TY - CHAP A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor T1 - Fehlerhärtung und Fehlertoleranz für Flip-Flops und Scan-Path-Elemente T2 - Tagungsband, Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2007), 8. - 9. Mai 2007 Y1 - 2007 SN - 978-3-940046-28-4 SP - 67 EP - 72 PB - TUDpress CY - Dresden ER - TY - CHAP A1 - Scheit, Daniel A1 - Vierhaus, Heinrich Theodor T1 - Zentrale und dezentrale Selbstreparatur von Bussen N2 - Tagungsband ITG-GI-GMM- Workshop "Test und Zuverlässigkeit von Schaltungen und Systemen", Bremen, 2009 KW - ICs KW - SoCs KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2009 N1 - BTU ER - TY - GEN A1 - Hernandez, Hector Gerardo Muñoz A1 - Mahmood, Safdar A1 - Brandalero, Marcelo A1 - Hübner, Michael T1 - A Modular Software Library for Effective High-Level Synthesis of Convolutional Neural Networks T2 - Applied Reconfigurable Computing. Architectures, Tools, and Applications, 16th International Symposium, ARC 2020, Toledo, Spain, April 1–3, 2020, Proceedings Y1 - 2020 SN - 978-3-030-44533-1 SN - 978-3-030-44534-8 U6 - https://doi.org/10.1007/978-3-030-44534-8_16 SN - 0302-9743 SN - 1611-3349 SP - 211 EP - 220 PB - Springer CY - Cham ER - TY - GEN A1 - Hoffmann, Javier Eduardo A1 - Mahmood, Safdar A1 - Suawa Fogou, Priscile A1 - George, Nevin A1 - Raha, Solaiman A1 - Safi, Sabur A1 - Schmailzl, Kurt JG A1 - Brandalero, Marcelo A1 - Hübner, Michael T1 - A Survey on Machine Learning Approaches to ECG Processing T2 - 2020 Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020 , Poznan, Poland Y1 - 2020 UR - https://ieeexplore.ieee.org/document/9241283 SN - 978-83-62065-39-4 SN - 978-83-62065-37-0 SN - 978-1-7281-7746-5 ER - TY - GEN A1 - Brandalero, Marcelo A1 - Hernandez, Hector Gerardo Muñoz A1 - Veleski, Mitko A1 - Al Kadi, Muhammed A1 - Rech, Paolo A1 - Hübner, Michael T1 - Enabling Domain-Specific Architectures with an Open-Source Soft-Core GPGPU T2 - 2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), New Orleans, LA, 18-22 May 2020 Y1 - 2020 SN - 978-1-7281-7445-7 SN - 978-1-7281-7457-0 U6 - https://doi.org/10.1109/IPDPSW50202.2020.00015 ER - TY - GEN A1 - Silva, Raul A1 - Korol, Guilherme dos Santos A1 - Jordan, Michael Guilherme A1 - Brandalero, Marcelo A1 - Hübner, Michael A1 - Pereira, Monica A1 - Rutzig, Mateus Beck A1 - Beck, Antonio Carlos Schneider T1 - A Management Technique for Concurrent Access to a Reconfigurable Accelerator T2 - 33rd Symposium on Integrated Circuits and Systems Design (SBCCI) Y1 - 2020 SN - 978-1-7281-9625-1 SN - 978-1-7281-9626-8 U6 - https://doi.org/10.1109/SBCCI50935.2020.9189927 ER - TY - JOUR A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor T1 - A Scan Controller Concept for Low-Power Scan Test KW - Test KW - Low-Power Y1 - 2008 N1 - American Science Publishers Inc. ER - TY - CHAP A1 - Scheit, Daniel A1 - Vierhaus, Heinrich Theodor T1 - Zentrale und dezentrale Selbstreparatur von Bussen T2 - Proceedings, EdaWorkshop 09, Dresden (Germany), May 26 - 28, 2009 KW - ICs KW - SoCs KW - Zuverlässigkeit KW - Fehlertoleranz Y1 - 2009 SN - 978-3-8007-3165-7 N1 - VDE SP - 37 EP - 42 PB - VDE-Verlag CY - Berlin [u.a.] ER - TY - CHAP A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor T1 - Test Data and Power Reductions for Transition Delay Tests for Massive Parallel Scan Structures T2 - 2010 13th Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD 2010), Lille, France, 1 - 3 September 2010 KW - ICs KW - Test KW - low-power Y1 - 2010 N1 - IEEE Computer Society SP - 283 EP - 290 PB - IEEE CY - Piscataway, NJ ER - TY - GEN A1 - Mahmood, Safdar A1 - Shydlouski, Pavel A1 - Hübner, Michael T1 - An Application Specific Framework for HLS-based FPGA Design of Articulated Robot Inverse” Kinematics T2 - International Conference on ReConFigurable Computing and FPGAs (ReConFig) Y1 - 2018 SN - 978-1-7281-1968-7 SN - 978-1-7281-1969-4 U6 - https://doi.org/10.1109/RECONFIG.2018.8641691 SN - 2640-0472 SN - 2325-6532 ER - TY - GEN A1 - Asabere, Nana Yaw A1 - Acakpovi, Amevi A1 - Torgby, Wisdom Kwawu A1 - Mends-Brew, Edwin A1 - Ampadu, Kwame Owusu T1 - Towards a Perspective of the Role of Mathematics in Computer Science and Engineering (CSE) Education T2 - International Journal of Computer Science and Telecommunications Y1 - 2016 UR - https://www.ijcst.org/Volume7/Issue1.html SN - 2047-3338 VL - 7 IS - 1 SP - 5 EP - 9 PB - Sysbase Solution CY - London ER - TY - GEN A1 - Vishwakarma, Abhinav A1 - Tripathi, G. S. T1 - Design and Implementation of Dual-Band BandpassFilter Using Tappered E Shaped Dual Mode Open Loop Resonator T2 - Global Research and Development Journal for Engineering Y1 - 2017 UR - http://www.grdjournals.com/uploads/article/GRDJE/V02/I06/0046/GRDJEV02I060046.pdf SN - 2455-5703 VL - 2 IS - 6 SP - 183 EP - 186 ER - TY - GEN A1 - Koal, Tobias A1 - Scharoba, Stefan A1 - Vierhaus, Heinrich Theodor T1 - Combining Correction of Delay Faults and Transient Faults T2 - 18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015 Y1 - 2015 SN - 978-1-4799-6780-3 SP - 99 EP - 102 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Kothe, René A1 - Galke, Christian A1 - Vierhaus, Heinrich Theodor T1 - Redundanz-Management und Fehlerisolierung für die Selbstreparatur in digitalen und analogen Schaltungen T2 - ANALOG '06, Vorträge der 9. ITG/GMM-Fachtagung vom 27. bis 29. September 2006 in Dresden KW - ICs KW - SoCs KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2006 SN - 978-3-8007-2988-3 SP - 57 EP - 62 PB - VDE-Verlag CY - Berlin [u.a] ER - TY - CHAP A1 - Rousselle, Christian A1 - Pflanz, Matthias A1 - Behling, A. A1 - Mohaupt, Thomas A1 - Vierhaus, Heinrich Theodor T1 - A Register Transfer Fault Simulator for Permanent and Transient Faults in Embedded Processors T2 - Proceedings, Design, Automation and Test in Europe, Conference and Exhibition 2001, Munich, Germany, March 13 - 16, 2001 Y1 - 2001 SN - 0-7695-0993-2 SN - 0-7695-0994-0 N1 - Poster-Session SP - S. 811 ER - TY - CHAP A1 - Misera, Silvio Andre A1 - Vierhaus, Heinrich Theodor T1 - FIT - a parallel hierarchical fault simulator T2 - PARELEC 2004, International Conference on Parallel Computing in Electrical Engineering, and Workshop on System Design Automation (SDA), 7 - 10 September 2004, Dresden, Germany Y1 - 2004 SN - 0-7695-2080-4 SP - 289 EP - 296 PB - IEEE Computer Society CY - Los Alamitos, Calif. [u.a.] ER - TY - CHAP A1 - Galke, Christian A1 - Pflanz, Matthias A1 - Vierhaus, Heinrich Theodor T1 - Detection and Compensation of Transient Errors in Processor Structures Y1 - 2003 ER - TY - GEN A1 - Kothe, René A1 - Habermann, Sven A1 - Vierhaus, Heinrich Theodor T1 - Selbstreparatur von Logik-Baugruppen in hochintegrierten Schaltungen - Möglichkeiten und Grenzen T2 - Forum der Forschung Y1 - 2006 SN - 0947-6989 VL - 10 IS - 19 SP - 125 EP - 130 ER - TY - CHAP A1 - Vierhaus, Heinrich Theodor A1 - Dabrowski, Adam T1 - Transistor- and Gate Level Self Repair for Logic Circuits T2 - Signal processing '2005, workshop proceedings, Poznan, 30th September 2005 Y1 - 2005 SN - 83-913251-6-4 SP - 7 EP - 12 CY - Poznan ER - TY - GEN A1 - Veleski, Mitko A1 - Hübner, Michael A1 - Krstic, Milos A1 - Kraemer, Rolf T1 - Design and implementation strategy of adaptive processor-based systems for error resilient and power-efficient operation T2 - 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS, April 7-9 2021 Y1 - 2021 SN - 978-1-6654-3595-6 U6 - https://doi.org/10.1109/DDECS52668.2021.9417023 SP - 57 EP - 62 PB - IEEE CY - Piscataway, NJ ER - TY - GEN A1 - Veleski, Mitko A1 - Hübner, Michael A1 - Krstic, Milos A1 - Kraemer, Rolf T1 - Towards Error Resilient and Power-Efficient Adaptive Multiprocessor System using Highly Configurable and Flexible Cross-Layer Framework T2 - IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS), IOLTS 2021, June 28-30, 2021 Y1 - 2021 SN - 978-1-6654-3370-9 U6 - https://doi.org/10.1109/IOLTS52814.2021.9486695 SP - 1 EP - 7 PB - IEEE CY - Piscataway, NJ ER - TY - GEN A1 - Bielefeldt, Jens A1 - Basener, Kai-Uwe A1 - Khan, Siddique R. A1 - Massah, Mozhdeh A1 - Wiesbrock, Hans-Werner A1 - Scharoba, Stefan A1 - Hübner, Michael T1 - DeepTest: How Machine Learning Can Improve the Test of Embedded Systems  T2 - 10th Mediterranean Conference on Embedded Computing (MECO), 7-10 June 2021 Y1 - 2021 SN - 978-1-6654-3912-1 SN - 978-1-6654-2989-4 U6 - https://doi.org/10.1109/meco52532.2021.9460182 SP - 1 EP - 6 PB - IEEE CY - Piscataway, NJ ER - TY - GEN A1 - Bielefeldt, Jens A1 - Basener, Kai-Uwe A1 - Khan, Siddique R. A1 - Massah, Mozhdeh A1 - Wiesbrock, Hans-Werner A1 - Scharoba, Stefan A1 - Hübner, Michael T1 - Einsatz von Deep Learning für den Test Eingebetteter Systeme  T2 - Informatik 2021: computer science & sustainability, 27. September-01. Oktober 2021, Berlin Y1 - 2021 SN - 978-3-88579-708-1 U6 - https://doi.org/10.18420/INFORMATIK2021-166 PB - Gesellschaft für Informatik e.V. CY - Bonn ER - TY - GEN A1 - Gonçalves, Marcio M. A1 - Benevenuti, Fabio A1 - Munoz-Hernandez, Hector Gerardo A1 - Brandalero, Marcelo A1 - Hübner, Michael A1 - Kastensmidt, Fernanda A1 - Azambuja, Jose Rodrigo T1 - Investigating Floating-Point Implementations in a Softcore GPU under Radiation-Induced Faults T2 - 27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), virtual conference, November 23-25, 2020 Y1 - 2020 SN - 978-1-7281-6044-3 SN - 978-1-7281-6045-0 U6 - https://doi.org/10.1109/ICECS49266.2020.9294939 SP - 1 EP - 4 PB - IEEE CY - Piscataway, NJ ER - TY - GEN A1 - Suawa Fogou, Priscile A1 - Meisel, Tenia A1 - Jongmanns, Marcel A1 - Hübner, Michael A1 - Reichenbach, Marc T1 - Modeling and Fault Detection of Brushless Direct Current Motor by Deep Learning Sensor Data Fusion T2 - Sensors Y1 - 2022 U6 - https://doi.org/10.3390/s22093516 SN - 1424-8220 VL - 22 IS - 9 ER - TY - GEN A1 - Hernandez, Hector Gerardo Muñoz A1 - Fricke, Florian A1 - Al Kadi, Muhammed A1 - Reichenbach, Marc A1 - Hübner, Michael T1 - Edge GPU based on an FPGA Overlay Architecture using PYNQ T2 - 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design (SBCCI). 2022 Y1 - 2022 SN - 978-1-6654-8128-1 SN - 978-1-6654-8129-8 U6 - https://doi.org/10.1109/SBCCI55532.2022.9893229 ER - TY - GEN A1 - Shahin, Keyvan A1 - Rotta, Randolf A1 - Archila, Oscar A1 - Mykytyn, Pavlo A1 - Nattke, Matthias A1 - Reichenbach, Marc A1 - Nolte, Jörg A1 - Natarov, Roman T1 - A Modular Communication Architecture for Adaptive UAV Swarms T2 - 2023 IEEE International Conference on Omni-layer Intelligent Systems (COINS) N2 - Small Unmanned Aerial Vehicles (UAVs) have vast application potential from industrial logistics and disaster monitoring to smart farming, for example, to create maps with Normalized Difference Vegetation Index (NDVI) cameras and AI-based image classification or the precision spot application of fertilizers. Operating multiple UAVs in parallel, including those with different specializations, enables efficient coverage of large areas. While existing research focused mainly on predefined flight formations for swarms or autonomous missions for single UAVs, the focus of this work is on multiple UAVs with individually adaptable missions. We derive communication system requirements from swarm coordination algorithms and present a respective design. The resulting modular architecture enables future research on autonomous adaptive UAV swarms and their use as flying sensor platforms. Y1 - 2023 SN - 979-8-3503-4647-3 SN - 979-8-3503-4648-0 U6 - https://doi.org/10.1109/COINS57856.2023.10189245 PB - IEEE CY - Berlin ER - TY - GEN A1 - Vishwakarma, Abhinav A1 - Fritscher, Markus A1 - Hagelauer, Amelie A1 - Reichenbach, Marc T1 - An RRAM-based building block for reprogrammable non-uniform sampling ADCs T2 - Information Technology : it Y1 - 2023 U6 - https://doi.org/10.1515/itit-2023-0021 SN - 2196-7032 VL - 65 IS - 1-2 SP - 39 EP - 51 ER - TY - GEN A1 - Knödtel, Johannes A1 - Munoz Hernandez, Hector Gerardo A1 - Lehnert, Alexander A1 - Thieu, Gia Bao A1 - Gesper, Sven A1 - Payá-Vayá, Guillermo A1 - Reichenbach, Marc ED - Palumbo, Francesca ED - Keramidas, Georgios ED - Voros, Nikolaos ED - Diniz, Pedro C. T1 - TAPRE-HBM: Trace-Based Processor Rapid Emulation Using HBM on FPGAs T2 - Applied Reconfigurable Computing. Architectures, Tools, and Applications, 19th International Symposium, ARC 2023, Cottbus, Germany, September 27–29, 2023, Proceedings Y1 - 2023 SN - 978-3-031-42920-0 SN - 978-3-031-42921-7 U6 - https://doi.org/10.1007/978-3-031-42921-7_21 SP - 307 EP - 321 PB - Springer CY - Cham ER - TY - GEN A1 - Fricke, Florian A1 - Scharoba, Stefan A1 - Rachuj, Sebastian A1 - Konopik, Andreas A1 - Kluge, Florian A1 - Hofstetter, Georg A1 - Reichenbach, Marc ED - Orailoglu, Alex ED - Reichenbach, Marc ED - Jung, Matthias T1 - Application Runtime Estimation for AURIX Embedded MCU Using Deep Learning  T2 - Embedded computer systems : architectures, modeling, and simulation : 22nd International Conference, SAMOS 2022, Samos, Greece, July 3-7, 2022, proceedings Y1 - 2022 SN - 978-3-031-15073-9 SN - 978-3-031-15074-6 U6 - https://doi.org/10.1007/978-3-031-15074-6_15 SP - 235 EP - 249 PB - Springer CY - Cham ER - TY - GEN A1 - Pechmann, Stefan A1 - Mai, Timo A1 - Potschka, Julian A1 - Reiser, Daniel A1 - Reichel, Peter A1 - Breiling, Marco A1 - Reichenbach, Marc A1 - Hagelauer, Amelie T1 - A Low-Power RRAM Memory Block for Embedded, Multi-Level Weight and Bias Storage in Artificial Neural Networks T2 - Micromachines Y1 - 2021 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:bvb:29-opus4-174878 SN - 2072-666X VL - 12 IS - 11 ER - TY - GEN A1 - Mahmood, Safdar A1 - Scharoba, Stefan A1 - Schorlemer, Jonas A1 - Schulz, Christian A1 - Hübner, Michael A1 - Reichenbach, Marc T1 - Detecting Improvised Land-mines using Deep Neural Networks on GPR Image Dataset targeting FPGAs  T2 - IEEE Nordic Circuits and Systems Conference (NORCAS), 25-26 October 2022, Oslo, Norway Y1 - 2022 SN - 979-8-3503-4550-6 SN - 979-8-3503-4551-3 U6 - https://doi.org/10.1109/norcas57515.2022.9934735 SP - 1 EP - 7 PB - IEEE CY - Piscataway, NJ ER - TY - GEN A1 - Mahmood, Safdar A1 - Hübner, Michael A1 - Reichenbach, Marc ED - Palumbo, Francesca ED - Keramidas, Georgios ED - Voros, Nikolaos ED - Diniz, Pedro C. T1 - A Design-Space Exploration Framework for Application-Specific Machine Learning Targeting Reconfigurable Computing T2 - Applied Reconfigurable Computing. Architectures, Tools, and Applications, 19th International Symposium, ARC 2023, Cottbus, Germany, September 27-29, 2023 Y1 - 2023 SN - 978-3-031-42921-7 SN - 978-3-031-42920-0 U6 - https://doi.org/10.1007/978-3-031-42921-7_27 SP - 371 EP - 374 PB - Springer CY - Cham ER - TY - GEN A1 - Schwenger, Lars A1 - Holzinger, Philipp A1 - Fey, Dietmar A1 - Munoz Hernandez, Hector Gerardo A1 - Reichenbach, Marc ED - Orailoglu, Alex ED - Reichenbach, Marc ED - Jung, Matthias T1 - EasyHBM: Simple and Fast HBM Access for FPGAs Using High-Level-Synthesis T2 - Embedded computer systems : architectures, modeling, and simulation : 22nd International Conference, SAMOS 2022, Samos, Greece, July 3-7, 2022, proceedings Y1 - 2022 SN - 978-3-031-15073-9 SN - 978-3-031-15074-6 SP - 43 EP - 60 PB - Springer CY - Cham ER -