TY - THES A1 - Pflanz, Matthias T1 - Online error detection and fast recover techniques for dependable embedded processors Y1 - 2002 SN - 3-540-43318-X PB - Springer CY - Berlin [u.a.] ER - TY - CHAP A1 - Schölzel, Mario A1 - Bachmann, Peter A1 - Vierhaus, Heinrich Theodor ED - Dabrowski, Adam T1 - Application Specific Processor Design for Digital Signal Processing T2 - Signal processing '2004, workshop proceedings, Poznan, 24th September 2004 Y1 - 2004 SN - 83-913251-5-6 SP - 7 EP - 15 PB - Poznan Univ. of Technology CY - Poznan ER - TY - CHAP A1 - Pflanz, Matthias A1 - Vierhaus, Heinrich Theodor T1 - Control Signal Protection - A New Challenge for High Performance Processors T2 - Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece Y1 - 2003 SN - 0-7695-1968-7 SP - 173 EP - 177 PB - IEEE Computer Society CY - Los Alamitos, Calif. [u.a.] ER - TY - CHAP A1 - Vierhaus, Heinrich Theodor A1 - Rossmann, Helmut T1 - Power-Timing Optimierung für Zellen-basierte Digitalschaltungen in Submikron-Technologien T2 - Informatik 2005 - Informatik LIVE!, Beiträge der 35. Jahrestagung der Gesellschaft für Informatik e.V., 19. - 22. September 2005 in Bonn, Bd. 1 Y1 - 2005 SN - 3-88579-396-2 SP - 339 EP - 343 PB - Gesellschaft für Informatik CY - Bonn ER - TY - GEN A1 - Vierhaus, Heinrich Theodor A1 - Pflanz, Matthias A1 - Mohaupt, Thomas T1 - Design and Architecture of Dependable Computer-Based Systems Y1 - 2000 ER - TY - CHAP A1 - Galke, Christian A1 - Pflanz, Matthias A1 - Vierhaus, Heinrich Theodor T1 - A Test Processor Concept for Systems-on-a-Chip Y1 - 2002 ER - TY - CHAP A1 - Galke, Christian A1 - Grabow, M. A1 - Vierhaus, Heinrich Theodor T1 - Kompaktierung von Testmustern für den Test von SoCs mittels einer Testprozessor-Architektur Y1 - 2003 ER - TY - CHAP A1 - Galke, Christian A1 - Grabow, M. A1 - Vierhaus, Heinrich Theodor T1 - Test Pattern De-/Compaction for SoC Test in a Test Processor Environment Y1 - 2003 ER - TY - CHAP A1 - Galke, Christian A1 - Grabow, M. A1 - Vierhaus, Heinrich Theodor T1 - Perspectives of combining online and offline test technology for dependable systems on a chip T2 - Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece Y1 - 2003 SN - 0-7695-1968-7 SP - 183 EP - 187 PB - IEEE CY - Los Alamitos, Calif. [u.a.] ER - TY - CHAP A1 - Kretzschmar, Claudia A1 - Galke, Christian A1 - Vierhaus, Heinrich Theodor T1 - A Hierarchical Self Test Scheme for SoCs Y1 - 2004 ER - TY - CHAP A1 - Kothe, René A1 - Galke, Christian A1 - Vierhaus, Heinrich Theodor T1 - A Multi-Purpose Concept for SoC Self Test Including Diagnostics Features T2 - 11th IEEE International On-Line Testing Symposium, 2005, IOLTS 2005, 6 - 8 July 2005, [Saint Raphael, French Riviera, France, proceedings] Y1 - 2005 SN - 0-7695-2406-0 SP - 241 EP - 246 PB - Los Alamitos, Calif. [u.a.] CY - IEEE Computer Society ER - TY - CHAP A1 - Galke, Christian A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor T1 - Logic Self Repair T2 - Workshop proceedings, ARCS '06, 19th International Conference on Architecture of Computing Systems, March 16, 2006, Frankfurt am Main Y1 - 2006 SN - 978-3-88579-175-1 SP - 36 EP - 44 PB - Ges. für Informatik CY - Bonn ER - TY - CHAP A1 - Krautz, U. A1 - Pflanz, Matthias A1 - Vierhaus, Heinrich Theodor A1 - Jacobi, C. A1 - Tast, H. W. T1 - Evaluating Coverage of Error Detection Logic for Soft Errors using Formal Methods T2 - Design, automation and test in Europe, Munich, Germany, March 6 - 10, 2006, proceedings, vol. 1 Y1 - 2006 SN - 3-9810801-1-4 SP - 176 EP - 181 PB - IEEE CY - Piscataway, NJ ER - TY - THES A1 - Misera, Silvio Andre T1 - Simulation von Fehlern in digitalen Schaltungen mit SystemC KW - Digitalschaltung KW - SystemC KW - Fehlererkennung KW - Fehlerbehandlung KW - Fehlersimulation Y1 - 2007 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-4063 ER - TY - CHAP A1 - Pflanz, Matthias A1 - Walther, Karsten A1 - Vierhaus, Heinrich Theodor ED - Alt, Jürgen T1 - On-Line Built-In Self-Check Techniken für zuverlässige eingebettete Prozessoren mit hoher Konplexität Y1 - 2001 ER - TY - CHAP A1 - Pflanz, Matthias A1 - Walther, Karsten A1 - Vierhaus, Heinrich Theodor T1 - On-line Error Detection Techniques for Depandable Embedded Processors with High Complexity T2 - Proceedings, Seventh International On-Line Testing Workshop, 9 - 11 July 2001, Giardini Naxos, Taormina, Italy Y1 - 2001 SN - 0-7695-1290-9 SP - 51 EP - 53 ER - TY - GEN A1 - Pflanz, Matthias A1 - Vierhaus, Heinrich Theodor T1 - Online Check and Recovery Techniques for Depandable Embedded Processors T2 - IEEE micro Y1 - 2001 SN - 0272-1732 VL - 21 IS - 5 SP - 24 EP - 40 ER - TY - CHAP A1 - Gläser, Uwe A1 - Stamenković, Zoran A1 - Vierhaus, Heinrich Theodor ED - Oklobdzija, Vojin G. T1 - Testing of Synchronous Sequential Digital Circuits T2 - The Computer Engineering Handbook Y1 - 2002 SN - 0-8493-0885-2 SP - 45-1 EP - 45-22 PB - CRC Press CY - Boca Raton [u.a.] ER - TY - CHAP A1 - Pflanz, Matthias A1 - Walther, Karsten A1 - Galke, Christian A1 - Vierhaus, Heinrich Theodor T1 - On-Line Error Detection and Correction in Storage Elements with Cross-Parity Check T2 - Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France Y1 - 2002 SN - 0-7695-1641-6 SP - 69 EP - 73 PB - IEEE Computer Society CY - Los Alamitos, Calif. [u.a.] ER - TY - CHAP A1 - Galke, Christian A1 - Pflanz, Matthias A1 - Vierhaus, Heinrich Theodor T1 - On-Line Detection and Compensation of Transient Errors in Processor Pipeline Structurs T2 - Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France Y1 - 2002 SN - 0-7695-1641-6 PB - IEEE Computer Society CY - Los Alamitos, Calif. [u.a.] ER - TY - CHAP A1 - Galke, Christian A1 - Mohaupt, Thomas A1 - Pflanz, Matthias A1 - Vierhaus, Heinrich Theodor T1 - Hardware/Software Based Test Techniques for Systems on a Chip with Embedded Processors Y1 - 2002 SN - 1437-7969 ER - TY - CHAP A1 - Galke, Christian A1 - Pflanz, Matthias A1 - Hennig, Hardy A1 - Vierhaus, Heinrich Theodor ED - Wagner, Ralph T1 - Hardware/Software basierter Selbsttest für System on a Chip (SOCs) mit eingebetteten Prozessoren Y1 - 2002 ER - TY - CHAP A1 - Schölzel, Mario T1 - HW / SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths T2 - 2010 Design, Automation & Test in Europe Conference & Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010 Y1 - 2010 SN - 978-1-4244-7054-9 SP - 723 EP - 728 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Schölzel, Mario T1 - Software-Based Self-Repair of Statically Scheduled Superscalar Data Paths Y1 - 2010 ER - TY - CHAP A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Basic Architecture for Logic Self Repair Y1 - 2008 ER - TY - CHAP A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Eingebaute Selbstreparatur zur Kompensation von Produktions- und Alterungsfehlern Y1 - 2010 ER - TY - CHAP A1 - Schölzel, Mario T1 - Möglichkeiten und Grenzen der Software-basierten Selbstreparatur in statisch geplanten superskalaren Prozessorarchitekturen Y1 - 2010 ER - TY - CHAP ED - Vierhaus, Heinrich Theodor ED - Steininger, Andreas ED - Pawlak, A. ED - Kraemer, Rolf ED - Schölzel, Mario ED - Raik, Jaan T1 - IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011 N2 - Konferenzband, erstellt und gedruckt von BTU Cottbus, Rechte bei IEEE Computer Society KW - Design KW - Test KW - Zuverlässigkeit Y1 - 2011 UR - http://www.ieee.org SN - 978-1-4244-9755-3 ER - TY - JOUR A1 - Misera, Silvio Andre A1 - Vierhaus, Heinrich Theodor T1 - Simulated fault injections and their acceleration in system C KW - Fehlersimulation Y1 - 2008 N1 - Elsevier BV ER - TY - CHAP A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Optimal Spare Utilization for Reliability and Men Litetime Improvement for Logic Built-in Self Repair T2 - Proceedings, IEEE DDECS 2011, Cottbus N2 - Tagungsbeitrag DDECS 2011, Cottbus KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2011 UR - www.computer.org SN - 978-1-4244-9753-9 N1 - IEEE Computer Society ER - TY - CHAP A1 - Ulbricht, Markus A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - A New Hierarchical Built-In Test with On-Chip Diagnosis for VLIW Processors T2 - IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011 N2 - Tagungsbeitrag DDECS 2011, Cottbus KW - Prozessoren KW - Test KW - Zuverlässigkeit Y1 - 2011 UR - www.computer.org SN - 978-1-4244-9755-3 N1 - IEEE Computer Society SP - 143 EP - 146 ER - TY - CHAP A1 - Ulbricht, Markus A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - A New Hiararchical Built-In SElf Test with On-Chip Diagnosis for VLIW Processors N2 - Tagungsbeitrag 23. ITG-Tagung "Test und Zuverlässigeit von Schaltungen und Systemen", Passau, 2011 KW - Prozessoren KW - Test KW - Zuverlässigkeit Y1 - 2011 N1 - BTU ER - TY - CHAP A1 - Koal, Tobias A1 - Beck, Matthias-Stephan A1 - Vierhaus, Heinrich Theodor T1 - Rekonfigurierbare Logik für Ausbeute-Optimierung und Verschleiß-Kompensation N2 - Tagungsbeitrag ITG-GI-GMM-Tagung "Zuverlässigeit und Entwurf", Hamburg, 2011 KW - ICs KW - Zuverlässigkeit KW - Lebensdauer KW - Selbstreparatur Y1 - 2011 ER - TY - BOOK T1 - Design and test technology for dependable systems-on-chip KW - Systems-on-Chip KW - Test KW - Zuverlässigkeit Y1 - 2011 SN - 978-1-6096-0212-3 PB - Information Science Reference CY - Hershay, Pa. [u.a.] ER - TY - CHAP A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor A1 - Coym, Torsten A1 - Vermeiren, W. A1 - Straube, B. T1 - Embedded Self Repair by Transistor and Gate Level Reconfiguration T2 - Design and Diagnostics of Electronic Circuits and systems, (DDECS 2006), Prag, April 2006 KW - ICs KW - SoCs KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2006 SN - 1-4244-0185-2 N1 - IEEE Computer Society SP - 208 EP - 213 PB - IEEE ER - TY - CHAP A1 - Habermann, Sven A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor T1 - Built-in Self Repair by Reconfiguration of FPGAs T2 - Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006 KW - ICs KW - FPGAs KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2006 SN - 978-0-7695-2620-1 N1 - IEEE Computer Society SP - 187 EP - 188 PB - IEEE Computer Society CY - Los Alamitos, Calif [u.a.] ER - TY - CHAP A1 - Vick, Axel A1 - Rossmann, Helmut A1 - Vierhaus, Heinrich Theodor T1 - Timing-Power-getriebener Layout-Entwurf für Zellen-basierte Digitalschaltungen T2 - Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 9. ITG/GI/GMM Workshop, 20. - 22. Februar 2006, Dresden KW - ICs KW - Layout KW - Synthese KW - Timing KW - Power Y1 - 2006 N1 - BTU SP - 61 EP - 69 CY - Dresden ER - TY - CHAP A1 - Vierhaus, Heinrich Theodor A1 - Stamenković, Zoran ED - Oklobdzija, Vojin G. T1 - Test Technology for Sequential Circuits T2 - The Computer Engineering Handbook, Part 1, Digital design and fabrication KW - Test KW - Testable Design Y1 - 2008 SN - 978-0-8493-8602-2 PB - CRC Press CY - Boca Raton ET - 2. edition ER - TY - CHAP A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor T1 - Flip-Flops and Scan Path Elements for Nanoelectronics T2 - Proceedings, Design and Diagnostics of Electronic Circuits and Systems DDECS '07, Krakow, 11 - 13 April 2007 KW - ICs KW - Test KW - Zuverlässigkeit KW - Fehlertoleranz Y1 - 2007 SN - 1-4244-1162-9 N1 - IEEE Computer Society PB - IEEE ER - TY - CHAP A1 - Misera, Silvio Andre A1 - Sieber, André T1 - Hardware-nahe Fehlersimulation mit effektiven SystemC-Modellen T2 - Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 10. GI/ITG/GMM-Workshop Modellierung und Verifikation KW - ICs KW - Fehler KW - Test KW - Fehlersimulation Y1 - 2007 N1 - BTU SP - 39 EP - 48 PB - Shaker CY - Aachen ER - TY - CHAP A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor ED - Sattler, Sebastian T1 - Reparaturfunktionen für Bus-Strukturen auf SoCs T2 - Zuverlässigkeit und Entwurf, 1. GMM/GI/ITG-Fachtagung vom 26. bis 28. März 2007 in München KW - ICs KW - SoCs KW - Test KW - Selbstreparatur Y1 - 2007 SN - 978-3-8007-3023-0 SN - 3-8007-3023-5 SP - 77 EP - 84 PB - VDE-Verl. CY - Berlin [u.a.] ER - TY - CHAP A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor T1 - Repair Functions and Redundancy Management for Bus Structures T2 - Workshop proceedings, ARCS 2007, 20th International Conference on Architecture of Computing Systems, March 15, 2007, Zurich, Switzerland KW - ICs KW - Zuverlässigkeit KW - Fehlertoleranz Y1 - 2007 SN - 978-3-8007-3015-5 N1 - VDI / VDE PB - VDE-Verlag CY - Berlin [u.a.] ER - TY - CHAP A1 - Kothe, René A1 - Vierhaus, Heinrich Theodor ED - Dabrowski, Adam T1 - An Embedded Test Strategy for Global and Regiional Interconnects on SoCs T2 - Signal processing '2006, workshop proceedings, Poznan, 29th September 2006 KW - Bus-Test KW - ICs KW - Test Y1 - 2006 SN - 83-913251-7-2 SP - 65 EP - 70 CY - Poznan ER - TY - CHAP A1 - Misera, Silvio Andre A1 - Breitenfeld, Lars A1 - Sieber, André A1 - Vierhaus, Heinrich Theodor T1 - A Mixed Level Fault Simulation of VHDL and SystemC N2 - Konferenzbeitrag EuroMicro Conference on Digital System Design (DSD 2006), Dubrovnik, September 2006 KW - Test KW - Fehlersimulation Y1 - 2006 N1 - IEEE Computer Society ER - TY - CHAP A1 - Misera, Silvio Andre A1 - Sieber, André A1 - Breitenfeld, Lars A1 - Vierhaus, Heinrich Theodor T1 - Eine Mixed-Language-Fault-Simulation von VHDL- und SystemC-Modellen T2 - Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006 KW - ICs KW - SoCs KW - Zuverlässigkeit KW - Fehlersimulation Y1 - 2006 N1 - BTU PB - Fraunhofer-Institut CY - Dresden ER - TY - CHAP A1 - Kothe, René A1 - Habermann, Sven A1 - Vierhaus, Heinrich Theodor A1 - Coym, Torsten A1 - Vermeiren, W. A1 - Straube, B. T1 - Selbstreparatur von Logik-Baugruppen in hochintegrieten Schaltungen- Möglichkeiten und Grenzen T2 - Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006 KW - ICs KW - SoCs KW - Fehlertoleranz KW - Selbstreparatur Y1 - 2006 PB - Fraunhofer-Institut CY - Dresden ER - TY - CHAP A1 - Schölzel, Mario T1 - Reduced Triple Modular Redundancy for Built-in Self Repair in VLIW Processors N2 - Tagungsbeitrag IEEE SPA 2007, Poznan KW - Prozessoren KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2007 N1 - BTU ER - TY - CHAP A1 - Misera, Silvio Andre A1 - Rossmann, Helmut A1 - Vierhaus, Heinrich Theodor T1 - Timing / Power Optimization for Digital Logic Based on Standard Cells N2 - Konferenzbeitrag Euro Micro Conference on Digital System Design (DSD) 2007, Lübeck,Sept. 2007 KW - IC design timing KW - power KW - Optimierung Y1 - 2007 N1 - IEEE Computer Society ER - TY - CHAP A1 - Sieber, André A1 - Misera, Silvio Andre A1 - Vierhaus, Heinrich Theodor T1 - Fault Injection Techniques and their Acccelerated Simulation in SystemC T2 - Proceedings, 10th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2007, 29 - 31 August 2007, Lübeck, Germany KW - ICs KW - Test KW - Fehlersimulation Y1 - 2007 SN - 978-0-7695-2978-3 N1 - IEEE Computer Society SP - 587 EP - 595 PB - IEEE Computer Society CY - Los Alamitos, Calif. [u.a.] ER - TY - CHAP A1 - Koal, Tobias A1 - Scheit, Daniel A1 - Vierhaus, Heinrich Theodor T1 - Schwachstellen und Engpässe bei Verfahren zur Fehlerkompensation und Selbstreparatur für hochintegrierte Schaltungen T2 - Zuverlässigkeit und Entwurf, 4. GMM/GI/ITG-Fachtagung vom 13. bis 15. September 2010 in Wildbad Kreuth KW - ICs KW - Fehler KW - Test KW - Selbstreparatur Y1 - 2010 SN - 978-3-8007-3299-9 N1 - VDE SP - 57 EP - 62 PB - VDE-Verlag CY - Berlin [u.a.] ER -