TY - GEN A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Yfantis, Alexandros D. A1 - Batchelor, David R. A1 - Appel, Günter A1 - Schmeißer, Dieter T1 - A Spectro-Microscopic Approach for Thin Film Analysis Grain Boundaries in mc-Si and Sn/SnO2 Nano Particles T2 - Microchimica Acta Y1 - 2001 SN - 1436-5073 SN - 0026-3672 VL - 136 IS - 3-4 SP - 109 EP - 113 ER - TY - GEN A1 - Müller, Klaus A1 - Paloumpa, Ioanna A1 - Henkel, Karsten A1 - Schmeißer, Dieter T1 - A polymer high-k dielectric insulator for organic field effect Transistors T2 - Journal of Applied Physics Y1 - 2005 SN - 0021-8979 VL - 98 IS - 5 SP - S. 056104 ER - TY - JOUR A1 - Schmitt, Andrew L. A1 - Zhu, Lei A1 - Schmeißer, Dieter A1 - Himpsel, Franz J. A1 - Jin, Song T1 - Metallic Single-Crystal CoSi Nanowires via Chemical Vapor Deposition of Single-Source Precursor Y1 - 2006 ER - TY - JOUR A1 - Schmitt, Andrew L. A1 - Bierman, Matthew J. A1 - Schmeißer, Dieter A1 - Himpsel, Franz J. A1 - Jin, Song T1 - Synthesis and Properties of Single-Crystal FeSi Nanowires Y1 - 2006 ER - TY - GEN A1 - Schmeißer, Dieter A1 - Henkel, Karsten A1 - Müller, Klaus A1 - Tallarida, Massimo T1 - Interface Reactions in Ultrathin Functional Dielectric Films KW - ultrathin KW - dielectric Y1 - 2009 ER - TY - GEN A1 - Lazareva, I. A1 - Koval, Yuri A1 - Müller, P. A1 - Müller, Klaus A1 - Henkel, Karsten A1 - Schmeißer, Dieter T1 - Interface screening and imprint in pol(vinylidene fluoride/trifluoroethylene) ferroelectric field effect transistors KW - interface KW - screening KW - transistors Y1 - 2009 ER - TY - CHAP A1 - Müller, Klaus A1 - Burkov, Yevgen A1 - Mandal, Dipankar A1 - Henkel, Karsten A1 - Paloumpa, Ioanna A1 - Goryachko, Andriy A1 - Schmeißer, Dieter ED - Wöll, Christof T1 - Microscopic and spectroscopic characterization of interfaces and dielectric layers for OFET devices T2 - Organic Electronics : structural and Electronic Properties of OFETs KW - microscopic KW - spectrocsopic KW - dielectric Y1 - 2009 SN - 978-3-527-40810-8 SP - 445 EP - 468 PB - Wiley-VCH CY - Weinheim ER - TY - GEN A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter T1 - HfO2/Si interface formation in atomic layer deposition films: an in situ investigation KW - atomic layer deposition Y1 - 2009 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter T1 - Si(001) surface oxidation by N2O N2 - Ultra-thin homogeneous oxynitride films are prepared on Si(001). The Si surface is cleaned in UHV by heating (flashing) and is exposed to different pressures of N2Oat altered temperatures. Thus oxynitride layers of different thickness and different properties are grown depending on the N2O pressure and the Si temperature. This isillustrated by a schematic diagram. The properties of the different oxynitride layers were studied by a combined photoemission electron microscopy (PEEM) andphotoelectron spectroscopy (PES) investigation using highly monochromatic synchrotron radiation. The amount of oxygen and nitrogen incorporated in the oxynitridelayers is determined from the PES measurements. The typical surface morphology for different preparation conditions is shown in PEEM images. KW - SI(100) KW - dielectric KW - Oxynitride KW - Interface KW - SI KW - NO Y1 - 2002 ER - TY - JOUR A1 - Schmeißer, Dieter A1 - Hoffmann, Patrick T1 - Characterisation of the PrOx/Si(001) Interface by Photoelectron Spectroscopy Y1 - 2002 ER - TY - GEN A1 - Henkel, Karsten A1 - Sohal, Rakesh A1 - Torche, Mohamed A1 - Paloumpa, Ioanna A1 - Müller, Klaus A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Grenzflächenoptimierung für funktionale Dünnschichtsysteme: Oxide und Polymere T2 - Forum der Forschung Y1 - 2005 SN - 0947-6989 VL - 9 IS - 18 SP - 49 EP - 56 ER - TY - GEN A1 - Schmeißer, Dieter A1 - Himpsel, Franz J. A1 - Denlinger, Jonathan D. A1 - Ederer, David L. T1 - Resonante Photoemission an der Cu2p Kante von Cu und CuO T2 - Forum der Forschung Y1 - 2005 SN - 0947-6989 VL - 9 IS - 18 SP - 57 EP - 62 ER - TY - JOUR A1 - Müller, Klaus A1 - Burkov, Yevgen A1 - Schmeißer, Dieter T1 - Photo-Emission-Electron-Microscopy for Characterization of an Operating Organic Electronic Device KW - photo-emission-electron-microscopy Y1 - 2006 ER - TY - JOUR A1 - Schmeißer, Dieter T1 - The Consequences of anisotropic Diffusion and Noise: PEEM at the CO Oxidation Reaction on Stepped Ir(111) Surfaces KW - Ir(111) Surfaces Y1 - 2006 ER - TY - GEN A1 - Müller, Klaus A1 - Henkel, Karsten A1 - Mandal, Dipankar A1 - Seime, Bernd A1 - Paloumpa, Ioanna A1 - Schmeißer, Dieter T1 - Spin coated organic ferroelectric films for non volatile memories KW - organic KW - ferroelectric Y1 - 2011 ER - TY - GEN A1 - Seifarth, Olaf A1 - Schubert, Markus Andreas A1 - Giussani, A. A1 - Klenov, D. O. A1 - Schmeißer, Dieter A1 - Schroeder, Thomas T1 - Single crystalline Pr2-xYxO3 (x=0-2)dielectrics on Si with tailored electronic and crystallographic structure KW - crystalline KW - dielectric KW - structure Y1 - 2010 SN - 1089-7550 VL - 108 IS - 10 SP - 103709 ER - TY - GEN A1 - Mandal, Dipankar A1 - Henkel, Karsten A1 - Müller, Klaus A1 - Schmeißer, Dieter T1 - Band gab determination of P(VDF-TrFE) copolymer film by electron energy loss spectroscopy Y1 - 2010 ER - TY - GEN A1 - Kolanek, Krzysztof A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter T1 - In situ studies of the atomic layer deposition of thin HfO2 dielectrics by ultra high vacuum atomic force microscope KW - in situ KW - atomic layer deposition KW - dielectric KW - microscope Y1 - 2010 ER - TY - JOUR A1 - Schmeißer, Dieter A1 - Seibold, Götz A1 - Reif, Jürgen T1 - Nano-scaled Dielectric Barriers (NanoDieB) for CMOS compatible Si-technologies JF - Forum der Forschung KW - nano-scaled KW - dielectric KW - CMOS Y1 - 2006 SN - 0947-6989 IS - 19 SP - 131 EP - 136 ER - TY - CHAP A1 - Starzyk, Łukasz A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Band alignment of high-k/SiO2/Si stacks incorporating Zr and Al oxides prepared by atomic layer deposition Y1 - 2010 ER -