TY - GEN A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Yfantis, Alexandros D. A1 - Batchelor, David R. A1 - Appel, Günter A1 - Schmeißer, Dieter T1 - A Spectro-Microscopic Approach for Thin Film Analysis Grain Boundaries in mc-Si and Sn/SnO2 Nano Particles T2 - Microchimica Acta Y1 - 2001 SN - 1436-5073 SN - 0026-3672 VL - 136 IS - 3-4 SP - 109 EP - 113 ER - TY - JOUR A1 - Hoffmann, Patrick T1 - Photoemission studies of very thin (<10nm) silicon oxynitride (SiOxNy) layers formed by PECVD N2 - The "ITRS Roadmap" suggests the necessity of working out the processing methods allowing formation of ultrathin dielectric layers with higher values of the dielectric permittivity than for silicon dioxide. The silicon oxynitride layers (SiOxNy) seem to be the most natural compromise. But still none of high temperature methods used for its formation can be seriously considered as final solution for future ULSI-CMOS ICs production due to the inevitable formation of nitride monolayers just at the silicon-insulator interface. The main scope of this investigation is to check if this is true. KW - Semiconductors KW - Thin films KW - Vapour deposition KW - Photoelectron spectroscopies KW - Synchrotron radiation Y1 - 2004 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter T1 - Si(001) surface oxidation by N2O N2 - Ultra-thin homogeneous oxynitride films are prepared on Si(001). The Si surface is cleaned in UHV by heating (flashing) and is exposed to different pressures of N2Oat altered temperatures. Thus oxynitride layers of different thickness and different properties are grown depending on the N2O pressure and the Si temperature. This isillustrated by a schematic diagram. The properties of the different oxynitride layers were studied by a combined photoemission electron microscopy (PEEM) andphotoelectron spectroscopy (PES) investigation using highly monochromatic synchrotron radiation. The amount of oxygen and nitrogen incorporated in the oxynitridelayers is determined from the PES measurements. The typical surface morphology for different preparation conditions is shown in PEEM images. KW - SI(100) KW - dielectric KW - Oxynitride KW - Interface KW - SI KW - NO Y1 - 2002 ER - TY - JOUR A1 - Schmeißer, Dieter A1 - Hoffmann, Patrick T1 - Characterisation of the PrOx/Si(001) Interface by Photoelectron Spectroscopy Y1 - 2002 ER - TY - GEN A1 - Henkel, Karsten A1 - Sohal, Rakesh A1 - Torche, Mohamed A1 - Paloumpa, Ioanna A1 - Müller, Klaus A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Grenzflächenoptimierung für funktionale Dünnschichtsysteme: Oxide und Polymere T2 - Forum der Forschung Y1 - 2005 SN - 0947-6989 VL - 9 IS - 18 SP - 49 EP - 56 ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter A1 - Kittler, Martin T1 - Spektro-Mikroskopie auf multikristallinem Silizium T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Es werden spektro-mikroskopische Untersuchungen an multikristallinem Silizium (mc-Si) vorgestellt, einem aussichtsreichen Material für die Herstellung preiswerter Solarzellen. Dazu wird ein Photoemissions- Elektronenmikroskop (PEEM) ausgestattet mit einem zusätzlichen Energieanalysator für Elektronen (µESCA) genutzt. Bei Verwendung eines Synchrotrons als Anregungsquelle ist es so möglich, sowohl Absorbtions-Spektren (NEXAFS) als auch Photoelektronen-Spektren (PES) mit Ortsauflösung zu erhalten. Dabei wird gezeigt, dass das natürliche Oxid zur Untersuchung der morphologie-abhängigen Oberflächenpotentiale nicht entfernt werden muss. Des Weiteren wird die Verteilung von Ca- und Na-Ausscheidungen, die auf dem mc-Si gefunden wurden, durch ortsaufgelöstes NEXAFS bzw. PES dargestellt. Für den Photoemissions-Prozess des mc-Si im PEEM wird ein Modell aufgestellt, welches Photoemission mit einer Quecksilberdampflampe (hνmax = 4,9 eV) erklärt. KW - mc-Si KW - Solarzellen KW - Röntgen-Photoelektronenspektroskopie (XPS) KW - Röntgen-Absorptionsspektroskopie (XAS, NEXAFS) KW - Photoemissionselektronenspektroskopie (PEEM) Y1 - 2000 UR - http://www.dpg-verhandlungen.de/year/2000/conference/regensburg/part/hl/session/16/contribution/10?lang=en PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Mikalo, Ricardo Pablo A1 - Appel, Günter A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Conducting Polypyrrole doped with Phthalocyaninesulfonates - new materials for chemical sensors T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Polypyrrole - a conducting polymer - is used as an active electrode of a quartz micro ballance and considered as a gate electrode in all-polymer field effect transistors used as chemical sensor. We use substituted phthalocyanines as dopants of polypyrrole as they offer the possibility to enhance the dopant concentration as well as to include functionalized groups. We studied these films by photoelectron spectroscopy, NEXAFS, PEEM, and TEM. These investigations revealed that the electronic structure as well as the morphology are highly dependent on the dopant’s structure. We suggest a new charge transport model for 5-ring heterocycle conductive polymers. Whereas in the standard model only the carbon backbone is considered for the charge transport, we found by NEXAFS investigations that the heteroatom has a considerable high participation in the gap states, which are responsible for the charge transport. The dopants π-interactions force the nitrogen lone pair wave functions to contribute to the conducting polymer π-system. This is a novel approach concerning the application as chemical sensors since the reversible interaction of the analyte modifies the dopant and not the matrix. KW - polypyrrole KW - conducting polymer KW - X-ray photoelectron spectroscopy (XPS) KW - X-Ray absorption spectroscopy (XAS) KW - photo emission electron spectroscopy (PEEM) KW - transmission electron microscopy (TEM) KW - quartz crystal micro ballance (QMB) KW - gas sensor Y1 - 2000 UR - http://www.dpg-verhandlungen.de/year/2000/conference/regensburg/part/o/session/15/contribution/1?lang=en PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Böhme, Oliver A1 - Schmeißer, Dieter A1 - Lloyd Spetz, Anita A1 - Mårtenson, Per T1 - Einfluß von Nanopartikeln auf die Funktion von Hochtemperatursensoren T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Es werden Untersuchungen an als Hochtemperatur-Sensoren arbeitenden Schottky-Dioden mit dem Aufbau Metall-Oxidschicht-Siliziumkarbid vorgestellt. Dazu wurden Tiefenprofile mit XPS durch abwechselndes Abtragen durch Sputtern und Messen erstellt. Die Messungen erfolgten an thermisch unterschiedlich vorbehandelten Proben. Dabei konnten dipol-bedingte Verschiebungen der Bindungsenergie von Rumpfniveaus beobachtet werden. Das dazu notwendige Dipol-Moment wird durch das Entstehen von mit einer Oxidschicht überzogenen Nanoteilchen erklärt. Die unterschiedlich ausgeprägten Dipol-Verschiebungen auf den einzelnen Proben erlaubten eine Erklärung der thermischen Vorbehandlung, die bei Weglassen zu „frischen“ und je nach Temperatur zu „aktivierten“ oder „toten“ Sensoren führte. KW - Hochtemperatursensoren KW - Röntgen-Photoelektronenspektroskopie (XPS) KW - Tiefenprofilierung Y1 - 1999 UR - http://www.dpg-verhandlungen.de/year/1999/conference/muenster/part/o/session/16/contribution/1?lang=en PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Mikalo, Ricardo Pablo A1 - Appel, Günter A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Leitfähiges Polypyrrol als Elektrodenmaterial: Wechselwirkungen mit Silber T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Untersuchungen des metallischen Kontaktes von Silber auf tosylat- und phthalocyanindotiertem Polypyrrol wurden mittels XPS- und µ-NEXAFS vorgenommen. Es wurde festgestellt, daß Silber mit dem Polypyrrol keine Verbindung eingeht. Daraus kann geschlossen werden, daß sowohl das leitfähige Polymer, als auch das Silber ihren Leitfähigkeitsmechanismus beibehalten. Es konnte gezeigt werden, daß bei steigender Silberbelegung die Intensität der Polaronenzustände des Polypyrrol in stärkerem Maße abnimmt, als die Intensität der unbesetzten Zustände. Das läßt darauf schließen, daß Silber die Grenzfläche stabilisiert. KW - Polypyrrol KW - leifähiges Polymer KW - Röntgen-Photoelektronenspektroskopie (XPS) KW - Röntgen-Absoprtionsspektroskopie (XAS, NEXAFS) Y1 - 1999 UR - http://www.dpg-verhandlungen.de/year/1999/conference/muenster/part/o/session/11/contribution/57?lang=en PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter T1 - PEEM-Untersuchung an Spaltflächen von MoTe2-(0001) T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Molybdänditellurid (MoTe2) gehört zu den Schichtgitterverbindung und ist im allgemeinen durch den Herstellungsprozeß n-dotiert. Proben dieses MoTe2 wurden im Ultrahochvakuum gespalten und die so erhaltenen sauberen (0001)-Spaltflächen mit einem Photoemissions-Elektronenmikroskop (PEEM) untersucht. Diese Untersuchung erbrachte nebeneinander auf den Proben- oberflächen liegende stark kontrastierte helle und dunkle Bereiche. Da das PEEM Austrittsarbeitsunterschiede als Kontrast darstellt, können diese hellen und dunklen Bereiche auf lokale Unterschiede in der Austrittsarbeit zurückgeführt werden. Weiterhin wird über den Versuch berichtet, eine Zuordnung zwischen dem Kontrast und dem Unterschied in der Austrittsarbeit mit Hilfe eines Elektronenenergie-Analysators (micro-ESCA) zu treffen. KW - MoTe2 KW - Photoemissionselektronenspektroskopie (PEEM) KW - Austrittsarbeit Y1 - 1998 UR - http://www.dpg-verhandlungen.de/year/1998/conference/regensburg/part/o/session/5/contribution/5?lang=en PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Batchelor, David R. A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Hulea, Iulian A1 - Brinza, Monica T1 - The Al-Fe interface of friction-welded joints T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - The interface region of friction-welded joints Al-Fe samples is investigated by scanning Auger Spectroscopy, Electron Probe Micro-analysis (EPMA), X-ray Photoelectron spectroscopy, and Photoemission Electron Microscopy, performed at the synchrotron light source (BESSY). We investigated polished samples cut to obtain a cross-section of the Al-Fe joints. At the Al-Fe interface there is a small number of Fe teeth protuding into the adjacent Al-matrix. Beside this mechanical interlock mechanism we identify an Fe-Al alloy which is formed in an almost homogeneous interface layer of approximately 80 micron thickness. We determine the valence band spectra within that layer and notice that the alloying formation is limited to the interface region. In addition, the profile of both, the Fe and O distribution within the Al next to that interface is determined. A model of the friction-welding mechanism is derived and discussed in terms of the ability of achieving low temperature Fe-Al joints. KW - Fe-Al interface KW - friction-welded KW - X-ray photoelectron spectroscopy (XPS) KW - photoemission electron microscopy (PPEM) KW - scanning Auger spectroscopy KW - electron probe micro-analysis (EPMA) Y1 - 2000 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - JOUR A1 - Bieniek, Tomasz A1 - Beck, Romuald B. A1 - Jakubowski, Andrzej A1 - Konarski, Piotr A1 - Cwil, M. A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Application of r.f. plasma ultrashallow nitrogen ion implantation for pedestal oxynitride layer formation KW - ultrashallow KW - oxynitride Y1 - 2008 ER - TY - CHAP A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Lloyd Spetz, Anita A1 - Lundström, Ingemar A1 - Wawra, Herbert A1 - Pressel, Klaus A1 - Ourmazd, Abbas T1 - Depth profiling using synchrotron radiation with high energetic resolution as demonstrated for Si-, SiC-, SiGe-, and SiGeC-samples T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Photoelectron spectroscopy utilizing synchrotron radiation of high energetic resolution (more than E/ΔE=3000) was used to record the Si2p emission signal of Si, SiC, SiGe, and SiGeC samples. The spectra exhibit signals of the elemental silicon as well as of fully oxidised silicon. Upon variing the photon energy, and therefore the escape depth of the photoelectrons, we find an oxidic silicon signal which is only half oxidized. This half oxidized silicon is located to be at the interface of the bulk material with the oxide. We determine the thickness of the surface oxide from the total ratio of oxidic and elemental silicon. We find differences between the interface and bulk oxides regarding their elemental composition. The line width of the elemental Si2p-signal exhibits a broadening of approximately 200meV while variing the photoelectron escape depth. This behaviour is interpreted as caused by surface stress. KW - X-Ray photoelectron spectroscopy (XPS) KW - synchrotron KW - depth profiling Y1 - 2001 UR - http://www.dpg-verhandlungen.de/year/2001/conference/hamburg/part/o/session/13/contribution/74?lang=de PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Depth Profiling of Ultrathin Oxynitride Layers Using Synchrotron Radiation T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - To determine the depth structure of samples various destructive (SIMS, depth profiling with sputtering and AES or XPS) and non-destructive techniques (RBS - Rutherford-Back-Scattering) are available. But all methods are less suitable for investigating especially ultrathin (<5nm) layers: While the destructive techniques suffer from Ion-mixing the RBS can not deliver chemical information. Due to the depth-dependent attenuation of photo-electrons XPS also contains depth information. As XPS gives only a sum signal across the layer one needs to modify the Inelastic Mean Free Path (IMFP) of the photo-electrons to vary the depth information in the photo-electron spectra. This can be done by changing the kinetic energy with the use of different excitation energies delivered from a Synchrotron. Using this method we were able to investigate the chemical depth structure of silicon oxynitride layers. The layers were grown on Si(111) by a N2O-treatment. The depth profile of that layer shows a silicon nitride layer forming the interface between the silicon substrate and the oxynitride. KW - oxynitride KW - X-ray photoelectron spectroscopy KW - depth profiling Y1 - 2003 UR - http://www.dpg-verhandlungen.de/year/2003/conference/dresden/part/o/session/12/contribution/36?lang=de PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Schmeißer, Dieter A1 - Wehner, Stefan A1 - Hoffmann, Patrick A1 - Brand, Helmut R. A1 - Küppers, Jürgen ED - Descalzi, Orazio T1 - Influence of the Substrate on the Pattern Formation of a Surface Reaction T2 - Nonequilibrium statistical mechanics and nonlinear physics, XV Conference on Nonequilibrium Statistical Mechanics and Nonlinear Physics, Mar del Plata, Argentina, 4 - 8 December 2006 Y1 - 2007 SN - 978-0-7354-0421-2 SP - 121 EP - 126 PB - AIP Publishing CY - Melville, New York ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter T1 - Si(001) surface oxidation T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - A Si(001) surface is cleaned in UHV by heating (flashing) and is exposed to different pressures of N2O at altered temperatures. Oxynitride layers of different thicknesses and different properties are grown depending on the N2O-pressure and the Si- temperature. This is illustrated by a schematic diagram. The properties of the different Oxynitride layers were studied by a combined PEEM- and PES-investigation using highly monochromatised synchrotron radiation. The amount of Oxygen and Nitrogen incorporated in the Oxynitride layers is determined from the PES measurements. The typical surface morphology for different preparation conditions is shown in PEEM images. A model based on differently established dipole layers in the Oxynitride layers is proposed. This model is capable of interpreting the features appearing in the PE-spectra. This model can also be applied to the interface of Si/SiO2. KW - oxynitride KW - X-ray photoelectron spectroscopy (XPS) KW - photoemssion electron spectroscopy (PEEM) Y1 - 2001 UR - http://www.dpg-verhandlungen.de/year/2001/conference/hamburg/part/o/session/13/contribution/75?lang=de PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - JOUR A1 - Beck, Romuald B. A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Bieniek, Tomasz A1 - Jakubowski, Andrzej T1 - Composition and Electrical Properties of Ultra-Thin SiOxNy Layers Formed by rf Plasma Nitrogen Implantation/Plasma Oxidation Processes Y1 - 2007 ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Goryachko, Andriy A1 - Schmeißer, Dieter T1 - Growth of oxynitrides on Si-rich 4H-SiC(0001) surfaces T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Oxynitride layers are grown on 4H-SiC(0001) by a thermal treatment in N2O. The nitrogen content is controlled by varying the growth conditions (N2O pressure and substrate temperature) and the nitrogen incorporation is found to be stronger for higher substrate temperatures and lower N2O pressures. Excess carbon is generated due to SiC decomposition under such growth conditions (high temperature and low N2O pressure) which leads to unwanted high interface state density and has to be avoided. Our approach is to prepare a Si-rich or even Si-covered SiC surface by Si evaporation. Upon oxidation in N2O the additional silicon is expected to compensate the loss of silicon from the SiC while preparation. The Si-rich SiC surface as well as the grown layers were investigated by photoelectron spectroscopy (XPS) for chemical analysis and by AFM/STM for analysis of the surface morphology. Concerning the chemical analysis we focus on the total nitrogen content, on the amount of silicon nitride Si3N4 and of silicon oxynitride SiOxNy, and on the existence of sub-oxides which build the interface between SiC and the oxynitride layers. KW - X-Ray photoelectron spectroscopy KW - scanning tunneling microscopy (STM) KW - atomic force microscopy (AFM) KW - silicon oxynitride KW - 4H-SiC(0001) Y1 - 2005 UR - http://www.dpg-verhandlungen.de/year/2005/conference/berlin/part/hl/session/26/contribution/1?lan=en PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Growth of oxynitrides on Si-rich 4H-SiC(0001) surfaces T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - It will be reported on the growth of oxynitride thin layers (≤10nm) on (0001)-oriented 4H-SiC surfaces. The oxynitride layers were grown by a thermal treatment of the samples in low pressure N2O ambient. By varying the growth conditions (N2O pressure, sample temperature, growth time) different layers were made. The grown layers were investigated by photoelectron spectroscopy (XPS) for chemical analysis and by AFM/STM for analysis of the surface morphology. Concerning the chemical analysis it will be discussed the general nitrogen content of the samples, the composition of the films (e.g. content of silicon nitride Si3N4 and silicon oxynitride SiOxNy) and the sub-oxides which build the interface between SiC and the oxynitride layers. Concerning the surface morphology mainly the roughness will be discussed. The so obtained results for oxynitride thin films on 4H-SiC will be compared to similarly prepared oxynitride layers on Si(111) investigated in the past. KW - oxynitride KW - 4H-SiC KW - X-ray photoelectron spectroscopy (XPS) KW - Atomic foce microscopy (AFM) KW - scanning tunneling microscopy (STM) Y1 - 2004 UR - http://www.dpg-verhandlungen.de/year/2004/conference/regensburg/part/hl/session/40/contribution/4?lang=de PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - JOUR A1 - Mroczynski, Robert A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - The Influence of Annealing (900 Grad C) of Ultra-Thin PECVD Silicon Oxynitride Layers Y1 - 2007 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Wehner, Stefan A1 - Schmeißer, Dieter T1 - Noise-Induced Spatiotemporal Patterns in a Bistable Reaction-Diffusion System: Photoelectron Emission Microscopy experiments and Modeling of the CO Oxidation Reaction on Ir(111) Y1 - 2006 ER - TY - CHAP A1 - Henkel, Karsten A1 - Torche, Mohamed A1 - Sohal, Rakesh A1 - Schwiertz, Carola A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter ED - Dudley, Michael T1 - Pr-O-N Dielectrics for MIS Stacks on Silicon and Silicon Carbide Surfaces T2 - Silicon carbide 2006 - materials, processing and devices, symposium held April 18 - 20, 2006, San Francisco, California, U.S.A. Y1 - 2006 SN - 978-1-55899-867-4 SP - 353 EP - 358 PB - Materials Research Society CY - Warrendale, Pa. ER - TY - GEN A1 - Hoffmann, Patrick A1 - Wehner, Stefan A1 - Schmeißer, Dieter A1 - Brand, Helmut R. A1 - Küppers, Jürgen T1 - Noise-induced spatiotemporal patterns in a bistable reaction-diffusion system: Photoelectron emission micrsoscopy experiments and modeling of the CO oxidation reaction on Ir(111) T2 - Physical Review : E Y1 - 2006 SN - 1550-2376 VL - 73 IS - 5 SP - 056123 ER - TY - JOUR A1 - Hoffmann, Patrick T1 - Oxynitride Grotwth on 4H-SiC(0001) by N2O Y1 - 2005 ER - TY - JOUR A1 - Paloumpa, Ioanna A1 - Yfantis, Alexandros D. A1 - Hoffmann, Patrick A1 - Burkov, Yevgen A1 - Yfantis, Alexandros D. A1 - Schmeißer, Dieter T1 - Mechanisms to inhibit corrosion of Al alloys by polymeric conversion coatings N2 - We introduce a recently developed novel polypyrrole-based coating, which can be formed on the aluminium surface from an aqueous pyrrole solution of fluorozirconic and fluorotitanic acid neutralised with zinc oxide. The composite layer consists of polypyrrole (Ppy) chemisorbed on titanium and zinc oxides and exhibits advanced corrosion resistance. For the investigation of the structure and the corrosion mechanism of the composite corrosion resistant layer we use a photo-electron emission microscope (PEEM). PEEM is especially suitable because it can deliver topographic contrast as well as elemental contrast and chemical information in connection with a variable X-ray source. Additionally, in order to investigate further the corrosion mechanism, but also the role of the alloy in the corrosion process, we examine the aluminium samples with SEM/EDX. The structure of the corrosion resistant layer was investigated before and after accelerated corrosion tests. Our results pointed out the important role of titanium oxide and zinc in the corrosion resistance of our Ppy coating on aluminium. KW - Polypyrrole KW - Fluorozirconates KW - Fluorotitanates KW - Aluminium KW - Corrosion Y1 - 2004 ER - TY - GEN A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Roters, G. A1 - Nenyei, Z. T1 - Non-destructive probing of interfacial oxidation and nitridation states at RTA Si-oxides T2 - Thin Solid Films N2 - The quality of the SiO2/Si interface is of crucial importance in the development and performance of sub 0.1 small mu, Greekm technologies. The knowledge of the chemical composition of the interface is an important piece of information in the preparation of ultra-thin oxides and high-k dielectrics, in order to maintain a high-quality interface and channel mobility. Here we report on investigations of 2 nm oxide layers formed by a RTP process under various gas mixtures containing N2O and NH3 at different concentrations. We studied the SiO2/Si(001) interface by a non-destructive depth profiling using Synchrotron radiation photoelectron spectroscopy. We determine the thickness of the oxide layer, the relative content and chemical state of nitrogen within the oxide, the thickness of the interface layer, and the relative abundance of Si intermediate oxides within. In all systems we find the thickness of the intermediate layer to be approximately 0.17 nm. The intermediate layer contains Si atoms in the oxidation states +1, +2 and +3 with similar relative abundance. Nitrogen is found predominantly close to the intermediate layer forming Si---N as well as Si---N---O bonds. Within the oxide there are only spurious nitrogen content with predominately Si---N---O bonds. Our method is able to detect a nitrogen content within the intermediate layer as low as approximately 5%. KW - Silicon KW - Oxynitride KW - N20 KW - NH3 KW - Photoelectron spectroscopy KW - Ultrathin oxide Y1 - 2003 SN - 0040-6090 VL - 428 IS - 1-2 SP - 216 EP - 222 ER - TY - JOUR A1 - Schmeißer, Dieter A1 - Paloumpa, Ioanna A1 - Hoffmann, Patrick A1 - Burkov, Yevgen A1 - Yfantis, Alexandros D. A1 - Yfantis, Alexandros D. T1 - Preventing Corrosion of Aluminium Alloys by Polymeric Coatings N2 - Aluminium is a material of everyday use in many applications,like automobile,aero-space or cookware,due to its specialproperties (low density,low weight,strength,easy to form and cast,abundance etc).Although aluminium is a reactive metal,italso has a significant corrosion resistance because of a thin,protective oxide layer which is generally stable in air and aqueous solutions.However,pores within the oxide film and other defects caused from alloying elements can lead to local corrosion and the formation of pits. Y1 - 2003 ER - TY - GEN A1 - Lewerenz, Hans-Joachim A1 - Aggour, Mohammed A1 - Murrell, Chris A1 - Kanis, M. A1 - Jungblut, H. A1 - Jakubowicz, J. A1 - Cox, P. A. A1 - Campbell, S. A. A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Initial stages of structure formation on silicon electrodes investigated by photoelectron spectroscopy using synchrotron radiation and in-situ atomic force microscopy T2 - Journal of the Electrochemical Society N2 - The surface condition of electrochemically H-terminated Si is compared with the situation at the first photocurrent maximum in dilute acidic ammonium fluoride solution where the divalent dissolution converts into the four-valence process. The first high spectral-resolution photoelectron spectroscopy data using synchrotron radiation of electrochemically hydrogenated Si are presented. A combined electrochemistry/ultrahigh vacuum surface analysis system, attached to the U 49/2 beamline at the synchrotron Bessy II, is used for photoelectron spectroscopy (PES) of the electrochemically conditioned samples. We analyze the Si 2p, O 1s, and F 1s core levels. A comparison of a density functional theory calculation of the reaction sequence, proposed in the dissolution model of Gerischer and co-workers, with the PES results supports this model. The anodized sample is characterized by a residual H coverage of 0.35 monolayers evidenced by a surface core level shift, Si-OH and Si-Fx species, F-, and a higher oxidized Si species. SiO2 is not found. Even on very well H-terminated surfaces, we find residual Si-OH complexes, fluoride and water. In situ atomic force microscopy shows a roughening with a root mean square roughness parameter of 2.6 nm. %A92003 The Electrochemical Society. All rights reserved. Y1 - 2003 SN - 1945-7111 VL - 150 IS - 3 SP - E185 EP - E189 ER - TY - CHAP A1 - Hulea, Iulian A1 - Hoffmann, Patrick A1 - Brinza, Monica A1 - Batchelor, David R. A1 - Schmeißer, Dieter T1 - The interface of friction-welded Al-Fe joints. ATB Metallurgy Y1 - 2001 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Batchelor, David R. A1 - Schmeißer, Dieter A1 - Soinski, M. S. A1 - Follath, Rolf T1 - The chromatic aberration of PEEM - demonstration on graphite inclusions in cast iron, Kategrorie: Microscopy - Spectromicroscopy Y1 - 2001 ER - TY - JOUR A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Batchelor, David R. A1 - Schmeißer, Dieter T1 - Valence band spectroscopy of semiconducting regioregular Poly(3-Hexylthiophene)-2,5-diyl Y1 - 2001 ER - TY - JOUR A1 - Graupner, R. A1 - Seyller, Th. A1 - Ley, L. A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Batchelor, David R. A1 - Schmeißer, Dieter T1 - PEEM Investigation of CVD-Diamond grown on Si Y1 - 2001 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Batchelor, David R. A1 - Schmeißer, Dieter A1 - Follath, Rolf T1 - N2O-Oxidation of Si(100) Y1 - 2001 ER - TY - JOUR A1 - Kraft, D. A1 - Thißen, A. A1 - Mayer, Thomas A1 - Klein, A. A1 - Jaegermann, Wolfram A1 - Hoffmann, Patrick A1 - Batchelor, David R. A1 - Schmeißer, Dieter T1 - Backcontact Formation on CdTe Thin Film Solar Cells Y1 - 2001 ER - TY - JOUR A1 - Batchelor, David R. A1 - Follath, Rolf A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Commissioning Results of the BTUC-PGM beamline Y1 - 2001 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Böhme, O. A1 - Schmeißer, Dieter T1 - PEEM-investigations on cleaved MoTe2-surfaces Y1 - 1998 ER - TY - JOUR A1 - Bieniek, Tomasz A1 - Beck, Romuald B. A1 - Jakubowski, Andrzej A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Konarski, Piotr A1 - Cwil, M. T1 - Formation of Pedestal Oxynitride Layer by Extremely Shallow Nitrogen Implantation in Planar R.F. Plasma Reactor Y1 - 2006 ER - TY - CHAP A1 - Wehner, Stefan A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Brand, Helmut R. A1 - Küppers, Jürgen T1 - CO oxidation on Ir(111) surfaces: consequences of anisotropic diffusion and noise T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 41 N2 - The CO oxidation reaction on Iridium(111) surfaces shows bistability in a limited range of the CO fraction of the reactant gas flux Y and a wide range of temperatures T. The two branches are characterized by their reactivity for CO2 formation. The upper rate (high CO2 formation) rate is related to high oxygen coverage on the surface, the lower rate (little CO2 formation) to high CO coverage. Quadrupol mass spectroscopy and PEEM (photoelectron emission microscopy) was employed to study the influence of a noisy reactant gas flux composition on the spatio-temporal pattern development in the CO oxidation reaction on flat Ir(111) and stepped Ir(977) surfaces. PEEM shows nucleation and growth of few oxygen resp. CO islands at small noise amplitudes. Anisotropic diffusion of CO parallel and normal to the steps causes elliptic shapes of large islands. The long axes of the ellipses are aligned along the steps. At increased noise amplitudes the density of islands becomes larger. 2D modeling of the phenomena based on reaction-diffusion differential equations reproduces the experimental findings quite nicely. Y1 - 2006 UR - http://www.dpg-verhandlungen.de/year/2006/conference/dresden/part/o/session/14/contribution/37 SN - 0420-0195 SP - S. 475 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - JOUR A1 - Hoffmann, Patrick T1 - Oxynitride on 4H-SiC (0001) N2 - It will be reported on the growth of oxynitride ultra-thin layers (<2 nm) on (0 0 0 1)-oriented 4H-SiC surfaces. The oxynitride layers were grown by a thermal treatment of the samples in low pressure (up to 1000 Pa) N2O ambient. By varying the growth conditions (N2O pressure, sample temperature, growth time) different layers were made. The highest nitrogen incorporation was found to be at high temperatures and low N2O pressures.The grown layers were investigated by photoelectron spectroscopy (XPS) for chemical analysis. Concerning the chemical analysis, the general nitrogen content of the samples is compared at different preparation conditions. The films are found to consist mainly of SiO2 and small fraction of silicon nitride. Only a tenth of the nitrogen was incorporated as oxynitride. The results obtained for oxynitride thin films on 4H-SiC are compared to similarly prepared oxynitride layers on Si(1 1 1) investigated in the past. Furthermore, an additional source of nitrogen due to dopand diffusion in the SiC single crystal is reported. KW - Silicon carbide KW - Oxynitride KW - N2O KW - Photoelectron spectroscopy Y1 - 2005 ER - TY - GEN A1 - Lupina, Grzegorz A1 - Schroeder, Thomas A1 - Dabrowski, Jarek Marek A1 - Schmeißer, Dieter A1 - Hoffmann, Patrick T1 - Praseodymium silicate layers with atomically abrupt interface on Si(100) T2 - Applied Physics Letters Y1 - 2005 SN - 0003-6951 VL - 87 IS - 5 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Soinski, M. S. T1 - Promieniowanie synchrotronowe jako uniwersalne narzedzie badawcze - Proby jego wykorzystania w badaniach struktury zeliwa Y1 - 2004 ER - TY - JOUR A1 - Mikalo, Ricardo Pablo A1 - Appel, Günter A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Band Bending in Doped Conducting Polypyrrole Interaction with Silver Y1 - 2001 ER - TY - JOUR A1 - Schmeißer, Dieter A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Seyller, Th. A1 - Ley, L. A1 - Lloyd Spetz, Anita T1 - Oxide growth on SiC (0001) surfaces Y1 - 2001 ER - TY - JOUR A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Batchelor, David R. A1 - Schmeißer, Dieter A1 - Lloyd Spetz, Anita A1 - Lundström, Ingemar T1 - Comparison of HF and ozone treated SiC surfaces Y1 - 2001 ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Batchelor, David R. A1 - Beuckert, Guido A1 - Schmeißer, Dieter A1 - Kittler, Martin A1 - Ourmazd, A. A1 - Lewerenz, Hans-Joachim T1 - Solare Energieumwandlung in dünnen Schichten Y1 - 2000 ER - TY - JOUR A1 - Mikalo, Ricardo Pablo A1 - Appel, Günter A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Mast, M. T1 - Multi-Atom Resonant Photoemission applied to study the silver to nitrogen interaction in polypyrrole films Y1 - 2000 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Klein, A. A1 - Jaegermann, Wolfram A1 - Pettenkofer, Christian A1 - Follath, Rolf T1 - Spectro-Microscopy with PEEM / µESCA Y1 - 2000 ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Batchelor, David R. A1 - Schmeißer, Dieter T1 - Spectro-Microscopic analyses in metallurgical systems: spheroidic graphite in cast iron and the interface of friction-welded Al-Fe joints Y1 - 2000 ER - TY - JOUR A1 - Schmeißer, Dieter A1 - Appel, Günter A1 - Böhme, O. A1 - Heller, Thomas A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Batchelor, David R. T1 - Nanoparticles and polarons: active centers in thin film sensor devices Y1 - 2000 ER - TY - JOUR A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Heller, Thomas A1 - Batchelor, David R. A1 - Appel, Günter A1 - Schmeißer, Dieter T1 - Doping and defect inhomogeneities of polypyrrole tosylate films as revealed by ?-NEXAFS Y1 - 1999 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter A1 - Kittler, Martin T1 - A spectro-microscopic approach to study the morphology and elemental distribution of mc-Si surfaces Y1 - 1999 ER - TY - JOUR A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Böhme, O. A1 - Schmeißer, Dieter T1 - Doping inhomogenities in Polypyrrole films: a Photoemission Electron Microscope (PEEM) and Spectro-Microscopic Study Y1 - 1998 ER - TY - JOUR A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Heller, Thomas A1 - Schmeißer, Dieter T1 - Lateral Doping Inhomogeneities as Revealed by ?-NEXAFS and ?-PES Y1 - 1998 ER - TY - GEN A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Improvement of Nitrogen Incorporation into Oxynitrides on 4H-SiC(0001) T2 - Nuclear Instruments and Methods in Physics Research, Section B : Beam with Materials and Atoms Y1 - 2006 VL - 246 IS - 1 SP - 85 EP - 89 ER - TY - JOUR A1 - Wehner, Stefan A1 - Schmeißer, Dieter A1 - Hoffmann, Patrick T1 - Spatiotemporal patterns of external noise-induced transitions in a bistable reaction-diffusion system : Photoelectron Emission Microscopy experiments and modeling Y1 - 2005 ER - TY - JOUR A1 - Hoffmann, Patrick T1 - Chemical state depth profiling of ultra-thin oxynitride layers by photoelectron spectroscopy Y1 - 2004 ER - TY - GEN A1 - Lewerenz, Hans-Joachim A1 - Murrell, Chris A1 - Jakubowicz, J. A1 - Aggour, Mohammed A1 - Kanis, M. A1 - Campbell, S. A. A1 - Cox, P. A. A1 - Hoffmann, Patrick A1 - Jungblut, H. A1 - Schmeißer, Dieter T1 - High Resolution Surface analysis of Si Roughenning in Dilute Ammonium Fluoride Solution T2 - Journal of Electroanalytical Chemistry N2 - The initial stages of porous Si formation on Si(111) in dilute ammonium fluoride solution are analysed by photoelectron spectroscopy using synchrotron radiation (SRPES). The PES results in the por-Si formation regime partly support a recent dissolution model. The contribution from the Si 2p surface core level shift shows that 0.35 ML of the surface is still H-terminated after interruption of the conditioning process at the first photocurrent maximum. Two signals shifted in binding energy by 0.8 and 1 eV, respectively, are attributed to reaction intermediates expected from the proposed reaction mechanism and from theoretical calculations using density functional theory (DFT). A distinct roughening is found in in-situ AFM measurements, with a calculated RMS roughness parameter of 2.6 nm. KW - Porous Si KW - transistors KW - Electropolishing KW - Photoelectron spectroscopy KW - AFM KW - Synchrotron radiation Y1 - 2003 SN - 1873-2569 VL - 540 SP - 3 EP - 6 ER - TY - GEN A1 - Lewerenz, Hans-Joachim A1 - Aggour, Mohammed A1 - Murrell, Chris A1 - Kanis, M. A1 - Hoffmann, Patrick A1 - Jungblut, H. A1 - Schmeißer, Dieter T1 - Interface engineering of photoelectrochemically prepared Si surfaces N2 - The oxide of Si(111) formed by electropolishing in dilute ammonium fluoride solution is analysed by photoelectron spectroscopy using synchrotron radiation. The oxidiclayer is about 3.1 nm thick and contains Si-F-x species as well as oxyfluorides. The oxyfluorides are found preferentially at the electropolishing layer surface. SiOHspecies are concentrated at the oxidic film/substrate interface. The full width half maximum of the Si 2p line indicates that the Si/electropolishing oxide interface issmoother than the Si/natural oxide interface. KW - Mean Free Paths KW - Silicon KW - Range Y1 - 2002 ER - TY - THES A1 - Hoffmann, Patrick T1 - Untersuchungen zu Inhomogenitäten an der Halbleitergrenzfläche Silizium-Siliziumoxynitrid KW - Grenzflächeneigenschaften KW - SiO2 KW - SiON KW - Synchrotron-basierte Röntgen-Spektroskopie KW - XPS KW - XAS Y1 - 2003 SN - 3-8322-1982-X PB - Shaker-Verlag CY - Aachen ER - TY - JOUR A1 - Sieber, N. A1 - Seyller, Th. A1 - Graupner, R. A1 - Ley, L. A1 - Mikalo, Ricardo Pablo A1 - Hoffmann, Patrick A1 - Batchelor, David R. A1 - Schmeißer, Dieter T1 - Wet-chemical preparation of silicate adlayer reconstructed SiC(0001) surfaces as studied by PIES and LEED N2 - We have studied the surface chemistry of 6H-SiC(0001) and 6H-SiC (000 (1) over bar) after wet-chemical treatment using photoelectron spectroscopy (PES) andlow-energy electron diffraction (LEED). The origin of chemically shifted components in the Si2p and C1s spectra is discussed in the light of previous studies onwet-chemically prepared surfaces and on silicate adlayer reconstructed surfaces. On 6H-SiC(0001) an ordered silicate adlayer was prepared by etching the surface withsulfuric acid based agents. KW - Core level KW - Photoelectron spectroscopy KW - Synchrotron radiation KW - Wet-chemical preparation OXIDE Y1 - 2002 ER - TY - GEN A1 - Aggour, Mohammed A1 - Stoerkel, Ulrich A1 - Murrell, Chris A1 - Campbell, S. A. A1 - Jungblut, H. A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter A1 - Lewerenz, Hans-Joachim T1 - Electrochemical interface modification of CuInS2 thin films N2 - We studied two novel electrochemical treatments of CuInS2 solar-cell absorber films, introduced to remove the deleterious segregated CuS phase. Their influence onsurface topography, chemistry and electronic properties was investigated using in situ atomic force microscopy (AFM) and photoclectron spectroscopy, performed inpart at the U49/2 undulator beam line at BESSY II. The results are examined in order to develop an improved understanding of the chemical-electrochemical surfacetransformation processes. KW - thin-film solar cells KW - copper indium disulfide KW - atomic force microscopy (AFM) KW - photoelectron spectroscopy KW - synchrotron radiation KW - Solar-Cell Y1 - 2002 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter T1 - PEEM - a spectromicroscopic tool for mc-Si surface evaluation N2 - The photo emission electron microscope (PEEM) with its direct imaging of the sample surface is a convenient tool for fast evaluation of large sample areas. It is possibleto handle samples with a size of 30 mm x 30 mm.With PEEM it is possible to visualise grain boundaries and crystal displacements without removing the native oxide. No special sample treatment is needed exceptchemical polishing of the sample surface and a HF-dip to obtain a thin (1 ... 2 nm) oxide layer.The quality of that oxide was proofed by high resolution photo electron spectroscopy (PES) at a synchrotron. The results were compared to native oxide onsingle-crystalline Si and no suboxides (e.g. SiO) were found. Our spectromicroscopic PEEM measurements show no difference in the oxide qualities of different grainsand on grain boundaries or crystal displacements. Furthermore no difference in the binding energy of Si2p core levels were found.In addition to grain boundaries other spot-like features were found on the sample surface. These could be identified in some cases as precipitation of Ca underneath thenative oxide. KW - multicrystalline silicon KW - photoelectron spectroscopy KW - PEEM Y1 - 2002 ER - TY - CHAP A1 - Schmeißer, Dieter A1 - Hoffmann, Patrick A1 - Müssig, Hans-Joachim T1 - The Pr2O3/Si(001) interface: a mixed Si-Proxide T2 - BESSY annual report 2001 Y1 - 2002 SP - 171 EP - 173 PB - Bessy CY - Berlin ER - TY - JOUR A1 - Müller, Klaus A1 - Hoffmann, Patrick A1 - Milko, S. A1 - Burkov, Yevgen A1 - Schmeißer, Dieter T1 - PEEM and µ-NEXAFS of Cu-In-S-Surfaces N2 - The composition of CuInS2-films tends to differ from the ideal ratio of 1:1:2. A molecularlyvariation of dm=[Cu]/[In]-1 not equal 0 occurs due to the formation of binary phases as segregation's at the surface, as crystallites in the bulk of the film or at the surface of grains [1]. Furthermore, the range of homogeneity of the phase CuInS2 is relatively large caused by a low enthalpy of formation of defects like Cu-vacancies [2]. Segregation's at surfaces can be removed by KCN etching or by an electrochemical process [3, 4] We investigated the effect of an in-situ-heat-treatment of samples, prepared in the Cu-rich regime with additional amounts of copper, deposited on the surface of the Cu-In-S-films.We used Cu-In-S-films on molybdenum, prepared from precursors of Cu/In and a following reactive annealing-process in a sulphur-atmosphere. The experiments were performed as follows: The surface of the films was cleaned in situ to remove CuS-Phases by Argon-bombardment. The thin layers of additional Copper were prepared by evaporation of copper metal in Knudsen-cells. The thickness of this copper-films is in the range of monolayers, revealed by a calibration with copper on iron-substrates. After this deposition the samples were heated up to several temperatures (up to 550 ºC) for ten minutes, respectively. Here the molybdenum back-contact was used as electrical heater. Y1 - 2002 ER - TY - CHAP A1 - Henkel, Karsten A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Backpropagation-Netzwerke für ein Zweisensorsystem zur Erfassung von Kohlendioxidkonzentrationen und relativer Luftfeuchte T2 - Sensoren im Fokus neuer Anwendungen : Verbraucherschutz und Lebensmittelkontrolle, Biosysteme und Nanobiotechnologie, Umweltmesstechnik, neue Sensormaterialien, Mess- und Eichwesen N2 - Zwei Schwingquarzsensoren mit gleicher sensitiver Beschichtung wurden zur Messung von CO2-Konzentrationen bei variierenden Luftfeuchten genutzt. Die Daten wurden neuronalen Netzen mit unterschiedlicher Architektur trainiert. Zwei Netze wurden auf ihre Generalisierungsfähigkeit getestet und miteinander verglichen. Für ein einstufiges Netz konnten Ergebnisse mit Fehlern kleiner 12% für die CO2-Konzentration und kleiner 5% für die Luftfeuchte erzielt werden. Ein zweistufiges Netz erkannte Kategorien der Luftfeuchte 100%-ig, für die CO2-Konzentration wurden Fehler kleiner 9% erreicht, wobei eine deutlich bessere Generalisierungsfähigkeit dieses Netzes im Vergleich zum einstufigen Netz zu verzeichnen ist. Y1 - 2002 SN - 3-935712-71-5 SN - 978-3-935712-71-2 SP - 104 EP - 107 PB - w.e.b. Universitätsverlag CY - Dresden ER - TY - JOUR A1 - Batchelor, David R. A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Photoemission study of Aluminium Steel cold welded joints N2 - Aluminium and Stainless Steel bi-metal material is extremely versatile and is used in a wide range of products; from cooking utensils to high technology applications such as automobile manufacture and Synchrotron radiation instrumentation. As a consequence of this wide range of applications the study of the formation of Aluminium Steel junctions and the bonding mechanism is of considerable industrialimportance [1-3].In a previous publication [4] evidence for a chemical bond, alloying at the interface was found. As the monochromator focus and welded region are similar in size about 200 µm (the actual interface from SEM is an order of magnitude smaller) a simple photoemission experiment of translating the sample perpendicular (vertically, weld horizontal) to the weld and measuring spectra was performed. Y1 - 2002 ER - TY - JOUR A1 - Jäckel, B. A1 - Thißen, A. A1 - Hunger, Ralf A1 - Klein, A. A1 - Jaegermann, Wolfram A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Focus IS-PEEM investigations at U49/II: experimental determination of a magnification function, the contrast mechanism and the spectral resolution Y1 - 2002 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter T1 - A spectro-microscopic approach for spatially resolved characterisation of semiconductor structures in PEEM Y1 - 2000 ER - TY - JOUR A1 - Mikalo, Ricardo Pablo A1 - Appel, Günter A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter T1 - Determination of the Vacuum Level in NEXAFS-spectra by Selected-Yield-NEXAFS Y1 - 1999 ER - TY - JOUR A1 - Hoffmann, Patrick A1 - Mikalo, Ricardo Pablo A1 - Schmeißer, Dieter T1 - Photoemissionselektronenmikroskopie - ein Instrument für die Materialforschung Y1 - 1999 ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Engelmann, Hans-Jürgen A1 - Zschech, Ehrenfried A1 - Stegmann, Heiko A1 - Himpsel, Franz J. A1 - Denlinger, Jonathan D. ED - Tsui, Ting Y. T1 - Characterization of chemical bonding in low-k dielectric materials for interconnect isolation: A XAS and EELS Study T2 - Materials, technology and reliability of low-k dielectrics and copper interconnects, symposium held April 18 - 21, 2006, San Francisco, California Y1 - 2006 SN - 978-1-55899-870-5 SP - 55 EP - 62 PB - Materials Research Society CY - Warrendale, Pa. ER - TY - CHAP A1 - Hoffmann, Patrick A1 - Schmeißer, Dieter A1 - Himpsel, Franz J. A1 - Engelmann, Hans-Jürgen A1 - Zschech, Ehrenfried A1 - Stegmann, Heiko A1 - Denlinger, Jonathan D. T1 - Characterization of Chemical Bonding in Low-K Dielectric Materials for Interconnect Isolation: XAS and EELS Study T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft ; Reihe 6, Bd. 41 N2 - The use of low dielectric constant materials in the on-chip interconnect process reduces interconnect delay, power dissipation and crosstalk noise. In CVD deposited organo-silicate glass (OSG) the substitution of oxygen in SiO2 by methyl groups (-CH3) reduces the permittivity significantly (from 4.0 in SiO2 to 2.6-3.3 in the OSG). However, plasma processing removes C and H containing molecular groups. Therefore, compositional analysis and chemical bonding characterization of structured films with nanometer resolution is necessary. OSG thin films as-deposited and after plasma treatment are studied using XAS and EELS. In both techniques, the fine structure near the C1s edge allows to identify C-H, C-C, and C-O bonds. XAS spectra have been recorded for non-patterned films and EELS spectra for patterned structures. The chemical bonding is compared for as-deposited and plasma-treated low-k materials. The flu-orescence and the electron yield recorded while XAS measurement are compared. Examination of the C 1s near-edge structures reveal a mod-ified bonding of the remaining C atoms in the plasma-treated sample regions. Y1 - 2006 SN - 0420-0195 SP - S. 156 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Schmeißer, Dieter A1 - Hoffmann, Patrick A1 - Beuckert, Guido ED - Zschech, Ehrenfried ED - Whelan, Caroline T1 - Electronic Properties of the Interface Formed by Pr2o3 Growth on Si(001), Si(111)and SiC(0001) Surfaces Y1 - 2005 ER - TY - CHAP A1 - Zschech, Ehrenfried A1 - Stegmann, Heiko A1 - Schmeißer, Dieter A1 - Hoffmann, Patrick T1 - Chemical Bonding, Permittivity, and Elastic Properties in Locally Modified Organosilicate Glass Y1 - 2006 ER -