TY - GEN A1 - Schmeißer, Dieter A1 - Henkel, Karsten A1 - Müller, Klaus A1 - Tallarida, Massimo T1 - Interface Reactions in Ultrathin Functional Dielectric Films KW - ultrathin KW - dielectric Y1 - 2009 ER - TY - GEN A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter T1 - HfO2/Si interface formation in atomic layer deposition films: an in situ investigation KW - atomic layer deposition Y1 - 2009 ER - TY - GEN A1 - Kolanek, Krzysztof A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter T1 - In situ studies of the atomic layer deposition of thin HfO2 dielectrics by ultra high vacuum atomic force microscope KW - in situ KW - atomic layer deposition KW - dielectric KW - microscope Y1 - 2010 ER - TY - CHAP A1 - Starzyk, Łukasz A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Band alignment of high-k/SiO2/Si stacks incorporating Zr and Al oxides prepared by atomic layer deposition Y1 - 2010 ER - TY - GEN A1 - Kolanek, Krzysztof A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Atomic layer deposition of HfO2 investigated in situ by means of a noncontact atomic force microscopy Y1 - 2010 ER - TY - GEN A1 - Das, Chittaranjan A1 - Richter, Matthias A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Electronic properties of atomic layer deposited films, anatase and rutile TiO2 studied by resonant photoemission spectroscopy T2 - Journal of Physics D: Applied Physics N2 - The TiO2 films are prepared by atomic layer deposition (ALD) method using titanium isopropoxide precursors at 250 °C and analyzed using resonant photoemission spectroscopy (resPES). We report on the Ti2p and O1s core levels, on the valence band (VB) spectra and x-ray absorption spectroscopy (XAS) data, and on the resonant photoelectron spectroscopy (resPES) profiles at the O1s and the Ti3p absorption edges. We determine the elemental abundance, the position of the VB maxima, the partial density of states (PDOS) in the VB and in the conduction band (CB) and collect these data in a band scheme. In addition, we analyze the band-gap states as well as the intrinsic states due to polarons and charge-transfer excitations. These states are found to cause multiple Auger decay processes upon resonant excitation. We identify several of these processes and determine their relative contribution to the Auger signal quantitatively. As our resPES data allow a quantitative analysis of these defect states, we determine the relative abundance of the PDOS in the VB and in CB and also the charge neutrality level. The anatase and rutile polymorphs of TiO2 are analyzed in the same way as the TiO2 ALD layer. The electronic properties of the TiO2ALD layer are compared with the anatase and rutile polymorphs of TiO2. In our comparative study, we find that ALD has its own characteristic electronic structure that is distinct from that of anatase and rutile. However, many details of the electronic structure are comparable and we benefit from our spectroscopic data and our careful analysis to find these differences. These can be attributed to a stronger hybridization of the O2p and Ti3d4s states for the ALD films when compared to the anatase and rutile polymorphs. KW - titanium dioxide (TiO2) KW - resonant photoemission spectroscopy (resPES) KW - defect states KW - partial density of states (pDOS) KW - charge neutrality level (CNL) Y1 - 2016 U6 - https://doi.org/10.1088/0022-3727/49/27/275304 SN - 0022-3727 SN - 1361-6463 VL - 49 IS - 27 SP - 275304-1 EP - 275304-17 ER - TY - GEN A1 - Kot, Małgorzata A1 - Kegelmann, Lukas A1 - Köbler, Hans A1 - Vorokhta, Mykhailo A1 - Escudero, Carlos A1 - Kúš, Peter A1 - Šmíd, Břetislav A1 - Tallarida, Massimo A1 - Albrecht, Steve A1 - Abate, Antonio A1 - Matolínová, Iva A1 - Schmeißer, Dieter A1 - Flege, Jan Ingo T1 - In situ Near-Ambient Pressure X-ray Photoelectron Spectroscopy Reveals the Influence of Photon Flux and Water on the Stability of Halide Perovskite T2 - ChemSusChem N2 - For several years, scientists have been trying to understand the mechanisms that reduce the long‐term stability of perovskite solar cells. In this work, we examined the effect of water and photon flux on the stability of CH3NH3PbI3 perovskite films and solar cells using in situ near‐ambient pressure X‐ray photoelectron spectroscopy (NAP‐XPS), field emission scanning electron microscopy (FESEM), and current density–voltage (J–V) characterization. The used amount of water vapor (up to 1 mbar) had a negligible impact on the perovskite film. The higher the photon flux, the more prominent were the changes in the NAP‐XPS and FESEM data; also, a faster decline in power conversion efficiency (PCE) and a more substantial hysteresis in the J‐V characteristics were observed. Based on our results, it can be concluded that the PCE decrease originates from the creation of Frenkel pair defects in the perovskite film under illumination. The stronger the illumination, the higher the number of Frenkel defects, leading to a faster PCE decline and more substantial hysteresis in the J‐V sweeps. KW - field emission scanning electron microscopy (FESEM) KW - Frenkel defects KW - near-ambient pressure X-ray photoelectron spectroscopy (NAP-XPS) KW - perovskite KW - photon-induced degradation Y1 - 2020 U6 - https://doi.org/10.1002/cssc.202001527 SN - 1864-5631 SN - 1864-564X VL - 13 IS - 21 SP - 5722 EP - 5730 ER - TY - GEN A1 - Dorp, Dennis H. von A1 - Nyns, Laura A1 - Cuypers, Daniel A1 - Ivanov, Tsvetan A1 - Brizzi, Simone A1 - Tallarida, Massimo A1 - Fleischmann, Claudia A1 - Hönicke, Philipp A1 - Müller, Matthias A1 - Richard, Olivier A1 - Schmeißer, Dieter A1 - De Gendt, Stefan A1 - Lin, Dennis H. C. A1 - Adelmann, Christoph T1 - Amorphous Gadolinium Aluminate as a Dielectric and Sulfur for Indium Phosphide Passivation T2 - ACS Applied Electronic Materials N2 - The passivation of n-type InP (100) using sulfur in combination with a gadolinium aluminate (GAO) dielectric layer has been studied. Photoluminescence, minority-carrier lifetime, and capacitance−voltage measurements indicate that a (NH4)2S vapor passivation step prior to atomic layer deposition of the oxide effectively lowers the interface state density. Surface and interface chemistry were studied by synchrotron radiation photoemission spectroscopy (SRPES). The effect of ex situ surface passivation after native oxide removal in HCl solution was examined. It was observed that surface reoxidation occurred during (NH4)2S vapor exposure, leading to the formation of Inx(HPO4)y. S was present on the surface as a sulfide in both surface and subsurface sites. After atomic layer deposition of GAO, sulfates were detected in addition to Inx(HPO4)y, which was confirmed by near-edge X-ray absorptionfine structure analysis. The S in the stack was quantified using reference-free grazing incidence X-rayfluorescence analysis. X-ray absorption spectroscopy showed that Gd was oxidized and present in the 3+ oxidation state, most likely as a phosphate close to the InP interface and possibly mixed with sulfates. Energy-dependent SRPES measurements of Al 2p and Gd 4d core levels, complemented by transmission electron microscopy, further suggest that the dielectric layer was segregated. Valence band measurements confirm the effective passivation of InP, indicating unpinning of the surface Fermi level. KW - III−V KW - InP KW - sulfur passivation KW - atomic layer deposition KW - gadolinium aluminate KW - rare earth oxide KW - dielectric Y1 - 2019 U6 - https://doi.org/10.1021/acsaelm.9b00388 SN - 2637-6113 VL - 1 IS - 11 SP - 2190 EP - 2201 ER - TY - CHAP A1 - Henkel, Karsten A1 - Kot, Małgorzata A1 - Richter, Matthias A1 - Tallarida, Massimo A1 - Schmeißer, Dieter ED - Wandelt, Klaus T1 - An (In Situ)² Approach: ALD and resPES Applied to Al₂O₃, HfO₂, and TiO₂ Ultrathin Films T2 - Encyclopedia of Interfacial Chemistry: Surface Science and Electrochemistry, Vol. 3.1 N2 - Oxide surface coatings are of importance in tailoring interface properties with respect to surface passivation, adjustment of surface potentials, or providing active centers for surface reactions. In this contribution, we report about surface coatings prepared by the atomic layer deposition (ALD) method. ALD is known for its conformal growth of ultrathin, dense films which exhibit a low concentration of pinholes. KW - Atomic layer deposition (ALD) KW - Resonant photoelectron spectroscopy (resPES) KW - Band scheme KW - Partial density of states (pDOS) KW - Intrinsic charges KW - Intrinsic defects KW - Aluminum oxide (Al₂O₃) KW - Hafnium oxide (HfO₂) KW - Titanium oxide(TiO₂) Y1 - 2018 SN - 978-0-12-809739-7 SN - 978-0-12-809894-3 U6 - https://doi.org/10.1016/B978-0-12-409547-2.13852-1 SP - 18 EP - 26 PB - Elsevier CY - Oxford ER - TY - GEN A1 - Indra, Arindam A1 - Menezes, Prashanth W. A1 - Das, Chittaranjan A1 - Göbel, Caren A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Driess, Matthias T1 - A facile corrosion approach to the synthesis of highly active CoOxwater oxidation catalysts T2 - Journal of Materials Chemistry A N2 - Ultra-small rock salt cobalt monoxide (CoO) nanoparticles were synthesized and subjected to partial oxidation (‘corrosion’) with ceric ammonium nitrate (CAN) to form mixed-valence CoOx(1 < x< 2) water oxidation catalysts. Spectroscopic, microscopic and analytical methods evidenced a structural reformation of cubic CoO to active CoOx with a spinel structure. The superior water oxidation activity of CoOx has been established in electrochemical water oxidation under alkaline conditions. Electrochemical water oxidation with CoOx was recorded at a considerably low overpotential of merely 325 mV at a current density of 10 mA cm-2 in comparison to 370 mV for CoO. Transformation of both octahedral CoII and CoIII sites into amorphous Co(OH)2–CoOOH is the key to high electrochemical activity while the presence of a higher amount of octahedral CoIII sites in CoOx is imperative for an efficient oxygen evolution process. KW - water splitting KW - water oxidation KW - cobalt oxide catalyst KW - transmission electron microscopy (TEM) KW - X-ray photoelectron spectroscopy (XPS) KW - X-ray absorption spectroscopy (XAS) KW - Cyclic voltammetry (CV) Y1 - 2017 U6 - https://doi.org/10.1039/c6ta10650a SN - 2050-7488 SN - 2050-7496 IS - 5 SP - 5171 EP - 5177 ER - TY - GEN A1 - Cibrev, Dejan A1 - Tallarida, Massimo A1 - Das, Chittaranjan A1 - Lana-Villarreal, Teresa A1 - Schmeißer, Dieter A1 - Gómez, Roberto T1 - New insights into water photooxidation on reductively pretreated hematite photoanodes T2 - Physical Chemistry Chemical Physics N2 - It has been recently demonstrated that the photoactivity toward oxygen evolution of a number of n-type metal oxides can be substantially improved by a reductive electrochemical pretreatment. Such an enhancement has been primarily linked to the formation of low valent metal species that increase electrode conductivity. In this work, we report new insights into the electrochemical doping using highly ordered (110)-oriented hematite nanorods directly grown on FTO. The reductive pretreatment consists in applying negative potentials for a controlled period of time. Such a pretreatment was optimized in both potentiostatic and potentiodynamic regimes. We show that the optimized pretreatment enhances electrode conductivity due to an increase in charge carrier density. However, it additionally triggers changes in the morphologic, catalytic and electronic properties that facilitate the separation and collection of the photogenerated charge carriers causing an up to 8-fold enhancement in the photocurrent for water oxidation. The reductive pretreatment can be considered as a highly controllable electrochemical n-type doping with the amount of generated Fe2+/polaron species and the change in film morphology as the main factors determining the final efficiency for water photooxidation of the resulting electrodes. KW - hematite KW - photoanode KW - water oxidation KW - water splitting Y1 - 2017 U6 - https://doi.org/10.1039/C7CP03958A SN - 1463-9076 SN - 1463-9084 VL - 19 IS - 32 SP - 21807 EP - 21817 ER - TY - CHAP A1 - Das, Chittaranjan A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - TiO2 laminated Silicon microstructures based stable photocathode for water splitting T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - The photoelectrochemical (PEC) water splitting is one of the most efficient ways to obtain hydrogen from water using solar power which can be used as carbon free fuel. The PEC device can bedesigned using semiconducting material that will convert solar radiation to H2. Silicon can be one of the best choices for PEC due to its success in solar cells technology. There are certain issues with Si such as stability in electrochemical medium [1] and higher surface reflectance (25%) which limits the Si as an ideal candidate for PEC technique [2]. In the present work we addressed these issues by surface structuring and laminating the surface with metal oxide. The microstructuring of Si was done by electrochemical method. The Si microstructure photocathode was stabilized by thin layer of ALD grown TiO2 film. The microstructuring and lamination of Si photocathode by ALD layer of TiO2 decreased the reflectance ofthe surface and shift the onset potential towards anodic direction by 350 mV with a prolonged stability over 60 hours[3]. [1] C. Levy-Clement, J. Electrochem. Soc 1991, 12, 69 [2] J. Oh, et al. Energy Environ. Sci., 2011, 4, 1690 [3] C. Das, et al. Nanoscale 2015,7, 7726 KW - water splitting KW - TiO2 KW - atomic layer deposition (ALD) KW - Si photoelectrodes Y1 - 2016 UR - http://www.dpg-verhandlungen.de/year/2016/conference/regensburg/part/ds/session/48/contribution/4?lang=en SP - S. 213 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Rouissi, Zied A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - HfO2 grow by ALD on Si(111)-H terminated stepped surface T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - We studied by STM the growth of HfO2 on Si (111)-H stepped surface (miscut by 0.5∘). The steps are aligned in the [11-2] direction. In order to obtain well defined steps and terraces we prepare the sample by chemical etching in 40% Nh4F. In our in-situ study we investigate the ALD growth of HfO2 by TDMAH and H2O [1-2]. We follow for the first four ALD cycles the distribution of the nucleation sites. We also study the influence of the substrate temperature by comparing the growth within the ALD window at 300K and 580K. We observed that at RT the as-grown HfO2 forms stripes which are oriented normal to the step orientations. Further growth starts from the step edges and proceeds towards the terraces. Defects created by the etching processes act as nucleation sites for 3D islands. Based on our STM data we are able to correlate the structural changes on that inert and stepped Si(111)-H surface during ALD with experimental [3] and theoretical [4] results obtained for planar Si(100) surfaces. [1] M. Tallarida et al., Semicond. Sci. Technol. 27, 074010 (2012) [2] K. Kolanek et al., Thin Solid Films 518, 4688 (2010) [3] K. Kolanek et al., J. Vac. Sci. Technol. A 31, 01A104 (2013) [4]L. Riikka et al., J. Appl. Phys.96, 7686 (2004) KW - atomic layer deposition KW - stepped surfaces KW - scanning tunneling micrsocopy KW - HfO2 KW - Si(111) Y1 - 2016 UR - http://www.dpg-verhandlungen.de/year/2016/conference/regensburg/part/o/session/89/contribution/13?lang=en SP - S. 419 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Rouissi, Zied A1 - Henkel, Karsten A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - ALD growth of Al2O3 on Stepped Surface of HOPG and Ag-HOPG T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Atomic Layer Deposition (ALD) is an excellent deposition technique to grow thin film with high homogeneity coverage on ideal surfaces. Here we are interested in the growth properties on non-ideal (stepped, inert) surfaces. Using STM we studied the growth of Al2O3 by ALD on stepped surface of HOPG and silver covered HOPG (Ag-HOPG). The HOPG sample was cleaved to and then cleaned by N2 in vacuum. We obtain regular steps with terrace widths of 50nm - 500nm. Ag was deposited by thermal deposition on the HOPG. The Al2O3 growth at RT was studies after the first, third, and fifth cycle. Silver evaporation leads to step decoration with island of 1nm-7nm. On the terraces we find the formation of 2D domain of Ag chains. The features caused by the individual ALD cycles of Al2O3 depend on the terrace widths of the HOPG substrate. For small terraces (<100nm) we obtain a growth of 2D domains ( 20nm-25nm) on the edge steps and in the middle of the terrace. For large terraces (>100nm) we find agglomeration of the precursors on individual nucleation sites. Here 3D islands are formed with a height of up to 5nm in the fifth ALD cycle. KW - atomic layer deposition KW - Scanning tunneling microscopy (STM) KW - Al2O3 KW - HOPG Y1 - 2016 UR - http://www.dpg-verhandlungen.de/year/2016/conference/regensburg/part/o/session/89/contribution/12?lang=en SP - S. 419 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Cuypers, Daniel A1 - Fleischmann, Claudia A1 - Dorp, Dennis H. von A1 - Brizzi, Simone A1 - Tallarida, Massimo A1 - Müller, Matthias A1 - Hönicke, Philipp A1 - Billen, Arne A1 - Chintala, Ravi A1 - Conard, Thierry A1 - Schmeißer, Dieter A1 - Vandervorst, Wilfried A1 - Elshocht, Sven van A1 - Armini, Silvia A1 - De Gendt, Stefan A1 - Adelmann, Christoph T1 - Sacrificial Self-Assembled Monolayers for the Passivation of GaAs(100) Surfaces and Interfaces T2 - Chemistry of Materials N2 - The use of sacrificial self-assembled monolayers (SAMs) to prepare clean n-type GaAs (100) surfaces without band bending in vacuo is demonstrated. GaAs surface passivation using octadecanethiol SAMs after HCl cleaning is shown to lead to an enhancement of the room-temperature photoluminescence intensity. Synchrotron-radiation photoelectron spectroscopy (SRPES) finds that the interfacial oxide between GaAs and the SAM remains below the detection limit. Evidence for both Ga–S and As–S bonds at the GaAs–thiolate interface is found. The limited thermal stability of the SAM allows the desorption of the alkyl chains by in situ thermal annealing at temperatures above 180 °C, leaving S bonded to Ga behind. The resulting surface contains only a very small amount of O (0.05 ML coverage) and C (about 3% of the SAM remaining) and shows no band bending with the surface Fermi level close to the conduction band. Atomic layer deposition of Al₂O₃ on this surface occurs via the formation of Al–S bonds without introducing any additional band bending. This indicates that the surface preparation of n-type GaAs (100) using sacrificial octadecanethiol SAMs followed by in situ thermal removal provides a route toward GaAs/oxide interfaces without interfacial oxides and without band bending. KW - GaAs KW - passivation KW - Al₂O₃ KW - atomic layer deposition (ALD) KW - Synchrotron-radiation photoelectron spectroscopy (SRPES) KW - self assembled monolayers (SAM) KW - interface engineering Y1 - 2016 U6 - https://doi.org/10.1021/acs.chemmater.6b01732 SN - 0897-4756 SN - 1520-5002 VL - 28 IS - 16 SP - 5689 EP - 5701 ER - TY - GEN A1 - Das, Chittaranjan A1 - Henkel, Karsten A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Gargouri, Hassan A1 - Kärkkänen, Irina A1 - Schneidewind, Jessica A1 - Gruska, Bernd A1 - Arens, Michael T1 - Thermal and plasma enhanced atomic layer deposition of TiO2: Comparison of spectroscopic and electric properties T2 - Journal of Vacuum Science and Technology A N2 - Titanium oxide (TiO2) deposited by atomic layer deposition (ALD) is used as a protective layer in photocatalytic water splitting system as well as a dielectric in resistive memory switching. The way ALD is performed (thermally or plasma-assisted) may change the growth rate as well as the electronic properties of the deposited films. In the present work, the authors verify the influence of the ALD mode on functional parameters, by comparing the growth rate and electronic properties of TiO2 films deposited by thermal (T-) and plasma-enhanced (PE-) ALD. The authors complete the study with the electrical characterization of selected samples by means of capacitance–voltage and current–voltage measurements. In all samples, the authors found a significant presence of Ti3+ states, with the lowest content in the PE-ALD grown TiO2 films. The observation of Ti3+ states was accompanied by the presence of in-gap states above the valence band maximum. For films thinner than 10 nm, the authors found also a strong leakage current. Also in this case, the PE-ALD films showed the weakest leakage currents, showing a correlation between the presence of Ti3+ states and leakage current density. KW - titanium oxide (TiO2) KW - atomic layer deposition KW - plasma enhanced atomic layer deposition KW - synchrotron radiation photoelectron spectroscopy (SR-PES) KW - x-ray absorption spectroscopy KW - capacitance-voltage (CV) KW - current-voltage (IV) KW - ellipsometry KW - in-gap states Y1 - 2015 U6 - https://doi.org/10.1116/1.4903938 SN - 1520-8559 SN - 0734-2101 VL - 2015 IS - 33 SP - 01A144-1 EP - 01A144-8 ER - TY - GEN A1 - Das, Chittaranjan A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Si microstructures laminated with a nanolayer of TiO2 as long-term stable and effective photocathodes in PEC devices T2 - Nanoscale N2 - Photoelectrochemical (PEC) water splitting is one of the most emerging fields for green energy generation and storage. Here we show a study of microstructured Si covered by a TiO2 nano-layer. The microstructures are prepared by galvanostatic selective etching of Si. The TiO2 nano-layer was deposited by atomic layer deposition (ALD) to protect the microstructured photocathode against corrosion. The obtained microstructured photocathode showed a shift in the onset potential of 400 mV towards the anodic direction compared to bare Si. The Si microstructures laminated with a nano-layer of TiO2 show stability over 60 hours of measurement. KW - water splitting KW - photocorrosion KW - protection layer KW - photoelectrochemical device (PEC device) KW - atomic layer deposition (ALD) KW - silicon (Si) KW - titanum oxide (TiO2) KW - microstructure Y1 - 2015 U6 - https://doi.org/10.1039/C5NR00764J SN - 2040-3364 SN - 2040-3372 IS - 7 SP - 7726 EP - 7733 ER - TY - GEN A1 - Nourbakhsh, Amirhasan A1 - Adelmann, Christoph A1 - Song, Yi A1 - Lee, Chang Seung A1 - Asselberghs, Inge A1 - Huyghebaert, Cedric A1 - Brizzi, Simone A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Elshocht, Sven van A1 - Heyns, Marc A1 - Kong, Jing A1 - Palacios, Tomás A1 - De Gendt, Stefan T1 - Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides T2 - Nanoscale N2 - Graphene oxide (GO) was explored as an atomically-thin transferable seed layer for the atomic layer deposition (ALD) of dielectric materials on any substrate of choice. This approach does not require specific chemical groups on the target surface to initiate ALD. This establishes GO as a unique interface which enables the growth of dielectric materials on a wide range of substrate materials and opens up numerous prospects for applications. In this work, a mild oxygen plasma treatment was used to oxidize graphene monolayers with well-controlled and tunable density of epoxide functional groups. This was confirmed by synchrotron-radiation photoelectron spectroscopy. In addition, density functional theory calculations were carried out on representative epoxidized graphene monolayer models to correlate the capacitive properties of GO with its electronic structure. Capacitance–voltage measurements showed that the capacitive behavior of Al2O3/GO depends on the oxidation level of GO. Finally, GO was successfully used as an ALD seed layer for the deposition of Al2O3 on chemically inert single layer graphene, resulting in high performance top-gated field-effect transistors. KW - Graphene Oxide KW - seed layer KW - atomic layer deposition KW - oxygen plasma treatment KW - photoelectron spectroscopy KW - density functional theory KW - capacitance-voltage Y1 - 2015 U6 - https://doi.org/10.1039/C5NR01128K SN - 2040-3364 SN - 2040-3372 VL - 2015 IS - 7 SP - 10781 EP - 10789 ER - TY - CHAP A1 - Henkel, Karsten A1 - Das, Chittaranjan A1 - Sowinska, Małgorzata A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Gargouri, Hassan A1 - Kärkkänen, Irina A1 - Schneidewind, Jessica A1 - Gruska, Bernd A1 - Arens, Michael ED - Glück, B. K. ED - Schmidt, S. ED - Stein, E. ED - Raschke, Jörg-Uwe ED - Richter, H. T1 - Charakterisierung von TiO2-ALD-Schichten und deren Nutzung für die Passivierung von Si-Photokathoden T2 - Tagungsband Photovoltaik-Meeting: Anwendungen-Qualität-Perspektiven, Senftenberg, 15.04.2015 N2 - Wir berichten zunächst über den Einfluss der Methode der Atomlagenabscheidung auf die TiO2-Schichteigenschaften. Insbesondere wird der Zusammenhang zwischen Ti3+- sowie Interbandzuständen mit elektrischen Kennlinien und deren Einfluss auf funktionale Schichteigenschaften diskutiert. Anschließend werden Mikrostrukturierung, Grenzflächen-Engineering und konforme Abscheidung einer TiO2-ALD-Schutzschicht effektiv zur Performance-Steigerung von p-Si-Photokathoden angewendet. KW - TiO2 KW - Korrosion KW - Atomlagenabscheidung KW - Silizium KW - Photokathoden KW - Linear Scan Voltametry KW - Photoelektronenspektroskopie Y1 - 2015 SP - 3 EP - 8 PB - BTU Cottbus-Senftenberg CY - Senftenberg ER - TY - CHAP A1 - Das, Chittaranjan A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Determination of charge neutrality level in TiO2 films from res-PES measurements T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Titanium dioxide is one of the metal oxides which have versatile application in different fields. The applications of TiO2 are in the field of cosmetics, electronics (memory resistive switching), dye, photonics and photocatalysis. In the present paper we study the resPES data of TiO2 films prepared by atomic layer deposition. The measurements are done in in-situ system at beam line U49/2-PGM2 in BESSY-II. The density of state in valence band and conduction band is obtained from the resonance photo electron spectroscopy (res-PES) of the O1s and the Ti2p edge. The data allow to determine the position of the VBM and CBM with respect to the Fermi energy. Also the existence of localized O2p and Ti2p derived states is deduced which appear in the gap. In addition we determine the charge neutrality level (CNL).The CNL is the position where the weight of the density of state from valence band and conduction band are equal. This is an important quantity for the discussion of interface properties. KW - charge neutrality level KW - resonant photoelectron spectroscopy KW - TiO2 Y1 - 2015 UR - http://www.dpg-verhandlungen.de/year/2015/conference/berlin/part/o/session/58/contribution/12?lang=en SN - 0420-0195 SP - S. 415 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Alberton Corrêa, Silma A1 - Brizzi, Simone A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Spectroscopic investigation of the electronic structure of HfO2 thin films T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - HfO2 is of current interest as a material for memristive and ferroelectric devices. In this work, we used spectroscopic techniques to evaluate the electronic structure and defects mechanisms in thin HfO2 films deposited by atomic layer deposition and by metal-organic chemical vapour deposition. The partial density of states for the valence and the conduction bands was determined by the detailed analysis of the O1s resonance profile by resonant photoelectron spectroscopy. From the relative contributions we find a CNL 6.5 eV referred to Evac. We also find that the positions of valence band maximum and conduction band minimum, the excitation range for the polaronic states and the range of charge transfer band were not influenced by the preparation conditions. All films exhibit a band gap of 6.2 eV. However, the Fermi level position was found to vary within about 1.2 eV depending on the preparation conditions, which we argue that is due to the presence of charges within the films. This explains why the position of the Fermi level depends on the individual preparation parameters. KW - electronic structure KW - HfO2 Y1 - 2015 UR - http://www.dpg-verhandlungen.de/year/2015/conference/berlin/part/ds/session/36/contribution/106?lang=en SN - 0420-0195 SP - S. 211 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Henkel, Karsten A1 - Gargouri, Hassan A1 - Gruska, Bernd A1 - Arens, Michael A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by PE-ALD at 25°C ≤ T ≤ 200°C T2 - Journal of Vacuum Science and Technology A N2 - In this work, plasma enhanced atomic layer deposited (PE-ALD) samples were prepared at substrate temperatures in the range between room temperature (RT) and 200 °C and investigated by capacitance–voltage and conductance–voltage recordings. The measurements are compared to standard thermal atomic layer deposition (T-ALD) at 200 °C. Very low interface state density (D it) ~1011 eV−1 cm−2 could be achieved for the PE-ALD process at 200 °C substrate temperature after postdeposition anneal (PDA) in forming gas at 450 °C. The PDA works very effectively for both the PE-ALD and T-ALD at 200 °C substrate temperature delivering also similar values of negative fixed charge density (N fix) around −2.5 × 1012 cm−2. At the substrate temperature of 150 °C, highest N fix (−2.9 × 1012 cm−2) and moderate D it (2.7 × 1011 eV−1 cm−2) values were observed. The as deposited PE-ALD layer at RT shows both low D it in the range of (1 to 3) × 1011 eV−1 cm−2 and low N fix (−4.4 × 1011 cm−2) at the same time. The dependencies of N fix, D it, and relative permittivity on the substrate temperatures and its adjustability are discussed. KW - Atomic layer deposition (ALD) KW - Al2O3 KW - dielectric properties KW - interface state density KW - fixed oxide charge KW - permittivity Y1 - 2014 UR - http://link.aip.org/link/?JVA/32/01A107&aemail=author U6 - https://doi.org/10.1116/1.4831897 VL - 1 IS - 32 SP - 01A107-1 EP - 01A107-10 ER - TY - CHAP A1 - Rouissi, Zied A1 - Brizzi, Simone A1 - Alberton Corrêa, Silma A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Comparison of charge neutrality level of Cu/CuO/HfO2 and Si/SiO2/HfO2 T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Copper Oxide (CuO) is a promising metal oxide semiconductor, which can be used in different applications, such as catalysis, solar energy conversion, and water splitting. In this work, we use resonance photoemission spectroscopy (ResPES) to analyse the electronics properties of HfO2 films deposited on Cu/CuO and Si/SiO2. For that, we deposited 10 cycles of HfO2 by atomic layer deposition (ALD) on Cu/CuO and Si/SiO2 samples and investigated the density states for the valence and conduction bands which were determined by the detailed analysis of the O1s resonance profile obtained by ResPES. We compared the positions of valence band maximum and conduction band minimum, the excitation range for the polaronic states and the range of charge transfer band in Cu/CuO/HfO2 and Si/SiO2/HfO2. Also, we determined the band gap and the charge neutrality level (CNL). KW - charge neutrality level (CNL) KW - resonant photoelectron spectroscopy KW - HfO2 Y1 - 2015 UR - http://www.dpg-verhandlungen.de/year/2015/conference/berlin/part/ds/session/36/contribution/47?lang=en SN - 0420-0195 SP - 208 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Cuypers, Daniel A1 - Dorp, Dennis H. von A1 - Tallarida, Massimo A1 - Brizzi, Simone A1 - Conard, Thierry A1 - Rodriguez, Leonard A1 - Mees, M. A1 - Arnauts, Sophia A1 - Schmeißer, Dieter A1 - Adelmann, Christoph A1 - De Gendt, Stefan T1 - Study of InP Surfaces after Wet Chemical Treatments T2 - ECS Journal of Solid State Science and Technology N2 - The influence of different wet chemical treatments (HCl, H₂SO₄, NH₄OH) on the composition of InP surfaces is studied by using synchrotron radiation photoemission spectroscopy (SRPES). It is shown that a significant amount of oxide remains present after immersion in a NH₄OH solution which is ascribed to the insolubility of In³⁺ at higher pH values. Acidic treatments efficiently remove the native oxide, although components like Pᴼ, Inᴼ and P(2±Δ)+ suboxides are observed. Alternatively, the influence of a passivation step in (NH₄)₂S solution on the surface composition was investigated. The InP surface after immersion into (NH₄)₂S results in fewer surface components, without detection of Pᴼ and P(2±Δ)+ suboxides. Finally, slight etching of InP surfaces in HCl/H₂O₂ solution followed by a native oxide removal step, showed no significant effect on the surface composition. KW - Synchrotron Radiation Photoelectron Spectroscopy KW - Scanning Tunneling Microscopy KW - InP KW - Wet chemical treatment Y1 - 2014 U6 - https://doi.org/10.1149/2.005401jss SN - 2162-8777 SN - 2162-8769 VL - 3 IS - 1 SP - N3016 EP - N3022 ER - TY - CHAP A1 - Rouissi, Zied A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Study of atomic layer deposition with scanning tunneling microscopy T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - We present a work concerning the study of the initial steps of atomic layer deposition (ALD) with scanning tunneling microscopy (STM). We focus on the role of the substrates which has been often neglected.However, the detailed knowledge of precursor-substrate reactions is important for the understanding of how ALD proceeds. We report on the reaction of the Al-precursor, trimethyl-Al (TMA), on nanostructured surfaces such as Ag nanoclusters and nanostripes prepared by thermal evaporation on HOPG. We characterized the surface before and after one TMA adsorption pulse at room temperature, observing that the morphology of step edges changes after TMA creating a new terraces with a width of 7-10 nm, translated in the direction of the TMA deposition. This shows that, in case of a regular stepped surface, the substrate morphology would keep the same regularity with the translation in a direction privileged by the precursor absorption. KW - Atomic layer deposition (ALD) KW - Scanning tunneling microscopy (STM) KW - Al2O3 Y1 - 2015 SN - 0420-0195 SP - S. 401 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Tallarida, Massimo A1 - Das, Chittaranjan A1 - Schmeißer, Dieter T1 - Quantum size effects in TiO2 thin films grown by atomic layer deposition T2 - Beilstein Journal of Nanotechnology N2 - We study the atomic layer deposition of TiO2 by means of X-ray absorption spectroscopy. The Ti precursor, titanium isopropoxide, was used in combination with H2O on Si/SiO2 substrates that were heated at 200 °C. The low growth rate (0.15 Å/cycle) and the in situ characterization permitted to follow changes in the electronic structure of TiO2 in the sub-nanometer range, which are influenced by quantum size effects. The modified electronic properties may play an important role in charge carrier transport and separation, and increase the efficiency of energy conversion systems. KW - atomic layer deposition (ALD) KW - charge transfer multiplet KW - covalency KW - energy conversion KW - quantum size effects KW - titanium dioxide (TiO2) KW - water splitting KW - X-ray absorption spectroscopy (XAS) Y1 - 2014 U6 - https://doi.org/10.3762/bjnano.5.7 SN - 2190-4286 IS - 5 SP - 77 EP - 82 ER - TY - CHAP A1 - Henkel, Karsten A1 - Tallarida, Massimo A1 - Haeberle, Jörg A1 - Gargouri, Hassan A1 - Naumann, Franziska A1 - Gruska, Bernd A1 - Arens, Michael A1 - Schmeißer, Dieter ED - Glück, Bernhard K. ED - Schmidt, Sindy ED - Stein, Erhard ED - Raschke, Jörg-Uwe ED - Richter, Hans T1 - PE-ALD-Al2O3-Schichten für die Passivierung von Solarzellen T2 - Tagungsband Photovoltaik-Meeting: Anwendungen-Qualität-Perspektiven, Senftenberg, 16.04.2014 N2 - Dünne Al2O3-Schichten wurden bei Substrattemperaturen zwischen Raumtemperatur und 200°C mittels PE-ALD auf Si-Substraten abgeschieden und ellipsometrisch, spektroskopisch und elektrisch charakterisiert. Ihr Potenzial für die chemische und Feldeffektpassivierung der Oberflächenrekombination wird bewertet. KW - Al2O3 KW - Atomlagenabscheidung (ALD) KW - Passivierung KW - PE-ALD KW - negative fixe Oxidladungen Y1 - 2014 SP - 7 EP - 14 PB - Cottbus-Senftenberg CY - BTU ER - TY - GEN A1 - Tallarida, Massimo A1 - Das, Chittaranjan A1 - Cibrev, Dejan A1 - Kukli, Kaupo A1 - Tamm, Aile A1 - Ritala, Mikko A1 - Lana-Villarreal, Teresa A1 - Gómez, Roberto A1 - Leskelä, Markku A1 - Schmeißer, Dieter T1 - Modification of Hematite Electronic Properties with Trimethyl Aluminum to Enhance the Efficiency of Photoelectrodes T2 - The Journal of Physical Chemistry Letters N2 - The electronic properties of hematite were investigated by means of synchrotron radiation photoemission (SR-PES) and X-ray absorption spectroscopy (XAS). Hematite samples were exposed to trimethyl aluminum (TMA) pulses, a widely used Al-precursor for the atomic layer deposition (ALD) of Al2O3. SR-PES and XAS showed that the electronic properties of hematite were modified by the interaction with TMA. In particular, the hybridization of O 2p states with Fe 3d and Fe 4s4p changed upon TMA pulses due to electron inclusion as polarons. The change of hybridization correlates with an enhancement of the photocurrent density due to water oxidation for the hematite electrodes. Such an enhancement has been associated with an improvement in charge carrier transport. Our findings open new perspectives for the understanding and utilization of electrode modifications by very thin ALD films and show that the interactions between metal precursors and substrates seem to be important factors in defining their electronic and photoelectrocatalytic properties. KW - Hematite KW - Atomic Layer deposition KW - Photoelectrode KW - electro-catalysis KW - TMA KW - efficiency enhancement Y1 - 2014 U6 - https://doi.org/10.1021/jz501751w VL - 5 IS - 20 SP - 3582 EP - 3587 ER - TY - GEN A1 - Adelmann, Christoph A1 - Schram, Tom A1 - Chew, Soon Aik A1 - Woicik, J. C. A1 - Brizzi, Simone A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Horiguchi, Naoto A1 - Elshocht, Sven van A1 - Ragnarsson, Lars-Åke T1 - On the scalability of doped hafnia thin films T2 - Applied Physics Letters N2 - The scaling behavior of Gd- and Al-doped HfO2 films as gate dielectrics in metal-oxide-semiconductor (MOS) capacitors was studied. For equivalent oxide thicknesses (EOTs) in the range of 10 Å, crystallized Gd:HfO2 showed higher leakage current densities than crystallized Al:HfO2, with undoped HfO2 in between. Ultimately, the scalability of Al:HfO2 was limited by the ability to crystallize the films at a given thermal budget. As a result, for post-deposition annealing at 800 °C, the EOT of Al:HfO2 based MOS capacitors was limited to ∼8 Å. However, for such an EOT, leakage current densities were reduced by about 100× with respect to HfO2. This demonstrates the high potential of Al:HfO2 for low-standby-power MOS devices. KW - scalability KW - hafnia thin films KW - Al:HfO2 KW - Gd:HfO2 KW - EXAFS KW - equivalent oxide thickness (EOT) KW - leakage current KW - X-ray absorption spectroscopy (XAS) Y1 - 2014 U6 - https://doi.org/10.1063/1.4870075 SN - 0003-6951 SN - 1077-3118 IS - 104 SP - 122906-1 EP - 122906-4 ER - TY - GEN A1 - Indra, Arindam A1 - Menezes, Prashanth W. A1 - Sahraie, Nastaran Ranjbar A1 - Bergmann, Arno A1 - Das, Chittaranjan A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Strasser, Peter A1 - Driess, Matthias T1 - Unification of Catalytic Water Oxidation and Oxygen Reduction Reactions: Amorphous Beat Crystalline Cobalt Iron Oxides T2 - Journal of the American Chemical Society N2 - Catalytic water splitting to hydrogen and oxygen is considered as one of the convenient routes for the sustainable energy conversion. Bifunctional catalysts for the electrocatalytic oxygen reduction reaction (ORR) and the oxygen evolution reaction (OER) are pivotal for the energy conversion and storage, and alternatively, the photochemical water oxidation in biomimetic fashion is also considered as the most useful way to convert solar energy into chemical energy. Here we present a facile solvothermal route to control the synthesis of amorphous and crystalline cobalt iron oxides by controlling the crystallinity of the materials with changing solvent and reaction time and further utilize these materials as multifunctional catalysts for the unification of photochemical and electrochemical water oxidation as well as for the oxygen reduction reaction. Notably, the amorphous cobalt iron oxide produces superior catalytic activity over the crystalline one under photochemical and electrochemical water oxidation and oxygen reduction conditions. KW - photochemical KW - electrochemical KW - catalyst KW - water oxidation reaction KW - oxygen reduction reaction KW - cobalt iron oxides KW - control of crytallinity Y1 - 2014 U6 - https://doi.org/10.1021/ja509348t SN - 1520-5126 SN - 0002-7863 VL - 2014 IS - 136 SP - 17530 EP - 17536 ER - TY - CHAP A1 - Alberton Corrêa, Silma A1 - Brizzi, Simone A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Charging effect in HfO2 films deposited on SiO2/Si by atomic layer deposition T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Thin films of hafnium oxide (HfO2) deposited by atomic layer deposition (ALD) have been studied extensively as a high-k replacement for the SiO2 gate in field effect transistors. The use of ALD process allows one to grow homogeneous thin films at low temperatures with a precise control of thickness [1]. Some important electrical considerations for the application of a high-k dielectric include the presence of fixed charge (Qf) and charge trapping in the dielectric. For instance, in the case of Al2O3 thin films deposited on Si, the thickness of the interfacial SiO2 layer was identified as a key fundamental parameter determining Qf [2]. A similar trend can be also expected in HfO2/Si structures. Therefore, in this work, we proposed an in situ evaluation of photon induced charge trapping in HfO2 films deposited on SiO2/Si structures. For that, tetrakis-di-methyl-amino-hafnium (TDMAHf) and H2O were employed as precursors to deposit HfO2 films on SiO2/Si samples with variable thickness of the SiO2 interlayer. Measurements were performed by Synchrotron Radiation Photoemission Spectroscopy (SR-PES). Results indicated that the charging process is dependent on the thickness of the SiO2 interlayer and on the quality of the HfO2 film. [1] M. Leskelä and M. Ritala, Thin Solid Films 409, 138 (2002). [2] G. Dingemans et al., J. Appl. Phys. 110, 093715 (2011). KW - Charging KW - HfO2 KW - atomic layer deposition (ALD) Y1 - 2014 UR - http://www.dpg-verhandlungen.de/year/2014/conference/dresden/part/ds/session/49/contribution/10?lang=en SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Das, Chittaranjan A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - X-ray linear dichroism in atomic layer deposited Titanium dioxide layers T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Among the various metal oxides TiO2 has been investigated because of its wide range of applications in various fields such as self-cleaning, photocatalysis, solar cell, water splitting, bio-implants. In order to increase its efficiency in water splitting and solar cell energy conversion, it is necessary to understand the crystal structure and electronic properties of thin films. Generally the process of synthesis may modify the electronic properties of TiO2. In the present work we show X-ray linear dichroism (XLD) measurements of TiO2 thin films of different polymorphs. Titania thin films were produced by atomic layer deposition (ALD) and were characterized in-situ with X-ray photoelectron and absorption spectroscopy at synchrotron radiation center BESSY-II. We found that that all titania phases show XLD at Ti-L and O-K edges, but the intensity of XLD is different for different phases. We discuss our data in terms of the partial density of states. KW - X-ray linear dichroism KW - atomic layer deposition (ALD) KW - TiO2 KW - X-ray absorption spectroscopy KW - X-ray photoelectron spectroscopy Y1 - 2014 UR - http://www.dpg-verhandlungen.de/year/2014/conference/dresden/part/ds/session/49/contribution/4?lang=en SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Brizzi, Simone A1 - Tallarida, Massimo A1 - Adelmann, Christoph A1 - Ragnarsson, Lars-Åke A1 - Elshocht, Sven van A1 - Schmeißer, Dieter T1 - Structural changes in HfO2 thin films: thickness and doping dependence T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - In this work we show results regarding MOCVD and ALD HfO2 as well as Al-doped HfO2. We use Synchrotron Radiation Photoemission Spectroscopy (SRPES) to determine Hf/O atomic ratios and X-ray Absorption Spectroscopy (XAS) to investigate the electronic properties related to their crystallization. MOCVD films are synthesized at temperatures ranging from ambient to 400∘C and show structures from completely amorphous to monoclinic. ALD films are amorphous as deposited, and can crystallize after post-deposition anneal depending on the percentage of Al-doping. We discuss PES results in order to determine how close to stoichiometry the Hf/O atomic ratios of the films are, as well as the doping level. From XAS data, instead, we can point out how orbital hybridizations are related to structural and physical properties. KW - Structure KW - HfO2 thin films KW - thickness dependence KW - doping dependence KW - atomic layer deposition (ALD) Y1 - 2014 UR - http://www.dpg-verhandlungen.de/year/2014/conference/dresden/part/ds/session/49/contribution/9?lang=en SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Tallarida, Massimo A1 - Henkel, Karsten A1 - Gargouri, Hassan A1 - Haeberle, Jörg A1 - Gruska, Bernd A1 - Arens, Matthias A1 - Schmeißer, Dieter T1 - New opportunities with Plasma enhanced atomic layer deposition (PE-ALD) of oxides T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Thermal Atomic layer deposition (T-ALD) of oxides is obtained by the pulsed alternation of a metal precursor and an oxygen source, typically H2O or O3, and the reactions leading to ALD are thermally activated. With plasma enhanced ALD (PE-ALD), instead, the oxygen source is represented by an oxygen-containing plasma. The higher reactivity of the plasma-generated species extend the capabilities of ALD: improved film quality and increased flexibility in process conditions, such as growth at low temperature, are typical advantages of PE-ALD over T-ALD. We report on results on the preparation of thin (<100 nm) aluminum oxide (Al2O3) films on silicon substrates using T-ALD and PE-ALD in the SENTECH SI ALD LL system. Films were deposited in the temperature range between room temperature (RT) and 200∘C. We characterized the films with spectroscopic ellipsometry (thickness, refractive index, growth rate) over 4" wafers and with X-ray photoelectron spectroscopy. All films resulted in a high degree of homogeneity, independent of the deposition temperature. Investigations with capacitance-voltage and conductance-voltage measurements showed a very low interface states density for the PE-ALD films. KW - Atomic layer deposition KW - Plasma enhanced atomic layer deposition KW - X-ray photoelectron spectroscopy KW - spectroscopic ellipsometry KW - dielectric properties KW - Al2O3 Y1 - 2014 UR - http://www.dpg-verhandlungen.de/year/2014/conference/dresden/part/ds/session/49/contribution/3?lang=en SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Das, Chittaranjan A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Control of thickness of SiO2 interfacial layer for photocatalytic water splitting on Si photocathodes T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Silicon with a band gap of 1.1eV is an excellent candidate for visible photocatalytic water splitting. But p-type Si has a low quantum yield and are less efficient for water splitting [1]. It has been shown that preventing oxidation of Si surface can shift the onset potential for water reduction by about 300mV towards more positive [2]. We investigated in detail the influence of the SiO2 layer thickness on the onset potential for water splitting on Si photocathodes: we used p-type Si substrates covered with SiO2 layers of varying thickness. Then, we deposited a thin TiO2 film on using atomic layer deposition (ALD) to inhibit Si oxidation during the electrochemical experiment. In this way we could shift the onset potential up to 200mV, depending on the SiO2 thickness. [1]E. L. Warren, S. W. Boettcher, M. G. Walter, H. A. Atwater, and N. S. Lewis: J. Phys. Chem. C 115 (2011) 594. [2]B. Seger, Anders B. Laursen, P. C. K. Vesborg, T. Pedersen, O. Hansen, S. Dahl, I. Chorkendorff, Angew. Chem. Int. Ed. 2012, 51, 9128 KW - photocatalysis KW - water splitting KW - Si photocathodes KW - atomic layer depsoition (ALD) KW - passivation layer KW - TiO2 Y1 - 2014 UR - http://www.dpg-verhandlungen.de/year/2014/conference/dresden/part/ds/session/49/contribution/5?lang=en SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Popovici, Mihaela A1 - Delabie, Annelies A1 - Adelmann, Christoph A1 - Meersschaut, Johan A1 - Franquet, Alexis A1 - Tallarida, Massimo A1 - Berg, Jaap van den A1 - Richard, Olivier A1 - Swerts, Johan A1 - Tomida, Kazuyuki A1 - Kim, Min-Soo A1 - Tielens, Hilde A1 - Bender, Hugo A1 - Conard, Thierry A1 - Jurczak, Malgorzata A1 - Elshocht, Sven van A1 - Schmeißer, Dieter T1 - Understanding the Interface Reactions of Rutile TiO2 Grown by Atomic Layer Deposition on Oxidized Ruthenium T2 - ECS Journal of Solid State Science and Technology N2 - The atomic layer deposition of titanium oxide TiO2 on ruthenium and oxidized ruthenium with titanium methoxide as metal precursor and H2O and O3 as oxidant was investigated by Rutherford backscattering (RBS) and time of flight secondary ion mass spectrometry (TOFSIMS). An ultra-thin layer of TiO2 deposited a priori with H2O plays the role of protection of Ru(Ox) substrates against etching by O3. Information about thin films (∼3 nm) interfacial reactions, thickness and structure was brought by Medium Energy Ion Scattering Spectroscopy (MEIS) and X-ray absorption spectroscopy (XAS) measurements. The growth enhancements observed in the first stages of the deposition depends on the pre-treatment (pre-oxidation, H2O based interlayer thickness) of the Ru substrate. Thick films (∼14 nm) were analyzed by transmission electron microscopy (TEM) and X-ray diffraction (XRD). The as deposited TiO2 films are crystalline with rutile structure, as resulted from structural analyzes. However, the presence of small amounts of anatase was detected by soft X-ray absorption spectroscopy (XAS) and is strongly influenced by the surface pre-treatment of the Ru substrate. The electrical properties (equivalent oxide thickness and leakage current density) correlate with a different rutile/anatase ratio present in the films. KW - TiO2 KW - atomic layer deposition KW - rutile KW - RuOx KW - Rutherford backscattering (RBS) KW - time of flight secondary ion mass spectrometry (TOFSIMS) KW - Medium Energy Ion Scattering Spectroscopy (MEIS) KW - X-Ray absorption spectroscopy KW - transmission electron microscopy (TEM) KW - X-ray diffraction (XRD) Y1 - 2013 U6 - https://doi.org/10.1149/2.035301jss SN - 2162-8769 VL - 2 IS - 1 SP - N23 EP - N27 ER - TY - GEN A1 - Kolanek, Krzysztof A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Height distribution of atomic force microscopy images as a tool for atomic layer deposition characterization T2 - Journal of Vacuum Science and Technology : A N2 - The authors propose the analysis of surface height histograms as a tool for the atomic layer deposition (ALD) growth characterization in the initial stage of the process. ALD of HfO2 on a Si(100)/SiO2 substrate was investigated in situ by ultra high vacuum atomic force microscope working in noncontact mode. The ALD cycles, made by using tetrakis-di-methyl-amido-Hf and H2O as precursors, were performed at 230 C. After each ALD cycle, the relation between the film growth and the root mean square surface roughness was studied. Parameters equivalent to HfO2 layer thickness, coverage, and surface roughness of the substrate and deposited material can be calculated in the proposed routine. KW - Atomic layer deposition (ALD) KW - Atomic force microscopy KW - HfO2 KW - height distribution Y1 - 2013 U6 - https://doi.org/10.1116/1.4754557 VL - 31 IS - 1 SP - 01A104-1 EP - 01A104-9 ER - TY - GEN A1 - Kukli, Kaupo A1 - Dimri, Mukesh Chandra A1 - Tamm, Aile A1 - Kemell, Marianna A1 - Käämbre, Tanel A1 - Vehkamäki, Marko A1 - Puttaswamy, Manjunath A1 - Stern, Raivo A1 - Kuusik, Ivar A1 - Kikas, Arvo A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Ritala, Mikko A1 - Leskelä, Markku T1 - Structural and Magnetic Studies on Iron Oxide and Iron-Magnesium Oxide Thin Films Deposited Using Ferrocene and (Dimethylaminomethyl)ferrocene Precursors T2 - ECS Journal of Solid State Science and Technology N2 - Iron oxide and magnesium-doped iron oxide films were grown by atomic layer deposition in the temperature range of 350–500 ◦C from ferrocene, (dimethylaminomethyl)ferrocene, magnesiumβ-diketonate, and ozone. Phase composition of the films depended on the deposition temperature, as magnetite and/or maghemite tended to form instead of hematite upon lowering the temperature. Iron oxide layers were also mixed with magnesium oxide by alternate deposition of MgO and Fe2O3 which also favored the formation of magnetite or maghemite structure prior to hematite. Scanning electron microscopy implied the smoothening of the films after doping with magnesium oxide. Magnetometry was used to study the effects of temperature and doping levels on the magnetic properties of the films. KW - iron oxide KW - iron magnesium oxide KW - GIXRD KW - Raman spectroscopy KW - X-Ray absorption spectroscopy (XAS) KW - scanning electron microscopy (SEM) KW - magnetization Y1 - 2013 U6 - https://doi.org/10.1149/2.004303jss SN - 2162-8769 VL - 2 IS - 3 SP - N45 EP - N54 ER - TY - GEN A1 - Barreca, Davide A1 - Carraro, Giorgio A1 - Gasparotto, Alberto A1 - Maccato, Chiara A1 - Rossi, Francesca A1 - Salviati, Giancarlo A1 - Tallarida, Massimo A1 - Das, Chittaranjan A1 - Fresno, Fernando A1 - Korte, Dorota A1 - Štangar, Urška Lavrenčič A1 - Franko, Mladen A1 - Schmeißer, Dieter T1 - Surface Functionalization of Nanostructured Fe2O3 Polymorphs: From Design to Light-Activated Applications T2 - ACS Applied Materials & Interfaces N2 - Nanostructured iron(III) oxide deposits are grown by chemical vapor deposition (CVD) at 400−500 °C on Si(100) substrates from Fe(hfa)2TMEDA (hfa = 1,1,1,5,5,5-hexafluoro-2,4-pentanedionate; TMEDA = N,N,N′N′-tetramethylethylenediamine), yielding the selective formation of α-Fe2O3or the scarcely studiedε-Fe2O3 polymorphs under suitably optimized preparative conditions. By using Ti(OPr i)4(OPri= iso-propoxy) and water asatomic layer deposition (ALD) precursors, we subsequently functionalized the obtained materials at moderate temperatures (<300°C) by an ultrathin titanomagnetite (Fe3−xTixO4) overlayer. An extensive multitechnique character-ization, aimed at elucidating the system structure, morphology, composition and optical properties, evidenced that the photoactivated hydrophilic and photocatalytic behavior of the synthesized materials is dependent both on iron oxide phase composition and ALD surface modification. The proposed CVD/ALD hybrid synthetic approach candidates itself as a powerful tool for a variety of applications where semiconductor-based nanoarchitectures can benefit from the coupling with an ad hoc surface layer. KW - chemical vapor deposition KW - atomic layer deposition KW - iron oxide KW - titanomagnetite KW - photoactivated properties Y1 - 2013 U6 - https://doi.org/10.1021/am401475g SN - 1944-8252 VL - 5 IS - 15 SP - 7130 EP - 7138 ER - TY - GEN A1 - Adelmann, Christoph A1 - Cuypers, Daniel A1 - Tallarida, Massimo A1 - Rodriguez, Leonard A1 - De Clercq, Astrid A1 - Friedrich, Daniel A1 - Conard, Thierry A1 - Delabie, Annelies A1 - Seo, Jin Won A1 - Locquet, Jean-Pierre A1 - De Gendt, Stefan A1 - Schmeißer, Dieter A1 - Elshocht, Sven van A1 - Caymax, Matty T1 - Surface Chemistry and Interface Formation during the Atomic Layer Deposition of Alumina from Trimethylaluminum and Water on Indium Phosphide T2 - Chemistry of Materials N2 - The surface chemistry and the interface formation during the initial stages of the atomic layer deposition (ALD) of Al2O3 from trimethylaluminum (TMA) and H2O on InP(100)were studied by synchrotron radiation photoemission spectroscopy and scanning tunneling microscopy. The effect of the ex situ surface cleaning by either H2SO4 or (NH4)2S was examined. It is shown that the native oxide on the InP surface consisted mainly of indium hydrogen phosphates with a P enrichment at the interface with InP. After a (NH4)2S treatment, S was present on the surface as a sulfide in both surface and subsurface sites. Exposure to TMA led to the formation of a thin AlPO4 layer, irrespective of the surface cleaning. The surface Fermi level of p-type InP was found to be pinned close to midgap after H2SO4 cleaning and moved only slightly further toward the conduction band edge upon TMA exposure, indicating that the AlPO4/InP interface was rather defective. (NH4)2S passivation led to a Fermi level position of p-type InP close to the conduction band edge. Hence, the InP surface was weakly inverted, which can be attributed to surface doping by S donors. TMA exposure was found to remove surface S, which was accompanied by a shift of the Fermi level to midgap, consistent with the removal of (part of) the S donors in combination with a defective AlPO4/InP interface. Further TMA/H2O ALD did not lead to any detectable changes of the AlPO4/InP interface and suggested simple overgrowth with Al2O3. Y1 - 2013 U6 - https://doi.org/10.1021/cm304070h SN - 1520-5002 VL - 25 IS - 7 SP - 1078 EP - 1091 ER - TY - GEN A1 - Tallarida, Massimo A1 - Adelmann, Christoph A1 - Delabie, Annelies A1 - Elshocht, Sven van A1 - Caymax, Matty A1 - Schmeißer, Dieter T1 - III-V/Oxide Interfaces Investigated with Synchrotron Radiation Photoemission Spectroscopy T2 - ECS Transactions N2 - We used synchrotron radiation photoemission spectroscopy (SR-PES) to investigate the surface of GaAs and other III-V semiconductors after tri-methyl-aluminum (TMA) pulses performed at 250{degree sign}C. We observed the removal of native oxide and the growth of Al-oxide upon measuring the As3d, Ga3d, Al2p and VB spectra. After seven TMA pulses we performed one water pulse. As3d and Ga3d peaks showed almost no lineshape change, but a decrease of intensity due to the water adsorption. The valence band shows a change in the secondary electron cut-off and the decrease of work function. We conclude that water mostly adsorbs molecularly and induces the work function decrease. The molecular absorption of water indicates that the seven TMA pulses produce a passivated surface only partially terminated with OH groups. KW - Interfaces KW - III/V KW - Synchrotron Photoemission Spectroscopy Y1 - 2013 U6 - https://doi.org/10.1149/05004.0123ecst SN - 1938-6737 VL - 50 IS - 4 SP - 123 EP - 128 ER - TY - GEN A1 - Haeberle, Jörg A1 - Henkel, Karsten A1 - Gargouri, Hassan A1 - Naumann, Franziska A1 - Gruska, Bernd A1 - Arens, Michael A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films T2 - Beilstein Journal of Nanotechnology N2 - We report on results on the preparation of thin (<100 nm) aluminum oxide (Al2O3) films on silicon substrates using thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) in the SENTECH SI ALD LL system. The T-ALD Al2O3layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt) and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate) over 4” wafers and correlatethem to X-ray photoelectron spectroscopy (XPS) results. The 200°C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films. KW - Al2O3 KW - ALD KW - ellipsometry KW - PE-ALD KW - XPS Y1 - 2013 U6 - https://doi.org/10.3762/bjnano.4.83 SN - 2190-4286 VL - 2013 IS - 4 SP - 732 EP - 742 ER - TY - GEN A1 - Rossi, Francesca A1 - Fabbri, Filippo A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Modreanu, Mircea A1 - Attolini, Giovanni A1 - Salviati, Giancarlo T1 - Structural and luminescence properties of HfO2 nanocrystals grown by atomic layer deposition on SiC/SiO2 core/shell nanowires T2 - Scripta Materialia N2 - In this work we report the morphological, structural and luminescence properties of HfO2 nanocrystals grown on the surface of SiC/SiO2 core/shell nanowires by atomic layer deposition. All the studies are carried out in comparison with HfO2 nanocrystal aggregates grown on planar oxidized silicon substrates. The structural analyses reveal that HfO2has monoclinic structure with dif-ferent orientations. The cathodoluminescence emission shows the main components at 2.7 and 2.3 eV, deeply influenced by the arrangement and aggregation of the nanocrystals. KW - Silicon carbide KW - Silicon dioxide KW - Nanowires KW - Hafnium oxide KW - Nanocrystals Y1 - 2013 U6 - https://doi.org/10.1016/j.scriptamat.2013.08.017 SN - 1359-6462 VL - 69 IS - 10 SP - 744 EP - 747 ER - TY - GEN A1 - Cuypers, Daniel A1 - Dorp, Dennis H. von A1 - Tallarida, Massimo A1 - Brizzi, Simone A1 - Rodriguez, Leonard A1 - Conard, Thierry A1 - Arnauts, Sophia A1 - Schmeißer, Dieter A1 - Adelmann, Christoph A1 - De Gendt, Stefan T1 - Study of InP Surfaces after Wet Chemical Treatments T2 - ECS Transactions N2 - In this work synchrotron radiation photoemission spectroscopy (SRPES) is used to study InP surfaces after different wet chemical treatments. All results are compared to a typical fingerprint of surface components present on an as received InP sample. It is shown that acidified (HCl and H₂SO₄) treatments efficiently remove the native phosphate, although components like Pᴼ, Inᴼ and P(2±∆)+ remain present. In alkaline solution (NH₄OH) oxide remains present at the surface. As an alternative treatment, the immersion into (NH₄)₂S was studied. This passivation treatment results in fewer surface components which suggests that a higher quality surface is obtained. KW - Synchrotron Radiation Photoelectron Spectroscopy KW - InP KW - Wet chemical treatment Y1 - 2013 U6 - https://doi.org/10.1149/05806.0297ecst SN - 1938-6737 SN - 1938-5862 VL - 58 IS - 6 SP - 297 EP - 303 ER - TY - CHAP A1 - Cuypers, Daniel A1 - Dorp, Dennis H. von A1 - Tallarida, Massimo A1 - Brizzi, Simone A1 - Rodriguez, Leonard A1 - Conard, Thierry A1 - Arnauts, Sophia A1 - Schmeißer, Dieter A1 - Adelmann, Christoph A1 - De Gendt, Stefan T1 - Study of InP Surfaces After Wet Chemical Treatments T2 - Meeting Abstracts-Electrochemical Society, San Francisco, 2013 KW - Synchrotron Radiation Photoelectron Spectroscopy KW - InP KW - Wet chemical treatment Y1 - 2013 UR - http://ma.ecsdl.org/content/MA2013-02/30/2132.full.pdf+html N1 - MA2013-02(30) SP - S. 2132 PB - The Electrochemical Society ER - TY - GEN A1 - Das, Chittaranjan A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Linear dichroism in ALD layers of TiO₂ T2 - Environmental Earth Sciences N2 - We prepare TiO₂film by ALD and study their electronic properties by soft X-ray absorption spectroscopy (XAS) and photoelectron spectroscopy. We focus on XAS and X-ray linear dichroism to indentify band onset and learn about local distortion of the Ti–O octahedral atomic and about defects which cause Ti-based electronic states within the band gap. KW - Anatase KW - Dichroism KW - Amorphous Y1 - 2013 U6 - https://doi.org/10.1007/s12665-013-2836-7 SN - 1866-6280 VL - 70 IS - 8 SP - 3785 EP - 3795 ER - TY - CHAP A1 - Choudhury, Sakeb Hasan A1 - Tallarida, Massimo A1 - Das, Chittaranjan A1 - Schmeißer, Dieter T1 - Atomic layer deposition of Ga2O3 using Tri-methyl-Gallium and H2O T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Considering numerous applications such as transparent conducting oxides, gas sensors, photovoltaic applications, deep UV photo detectors, field effect transistors and spintronics gallium oxide (Ga2O3) has earned quite a lot of focus recently. Various techniques have already been demonstrated to produce Ga2O3 naming evaporation, sputtering, pulsed laser deposition, chemical vapor deposition and atomic layer deposition (ALD). Among them, ALD gives the possibility of controlling the thickness at the atomic level, good step coverage and delivers dense and homogeneous films. In this contribution, we report on the growth of ALD Ga2O3 using trimethylgallium (TMG) and H2O as metal and oxygen precursors, respectively. We deposited thin Ga2O3 films on Si, TiO2, Al2O3 and RuO2 over a temperature range of 150-300°C and characterized them by X-ray photo emission spectroscopy and atomic force microscopy. From this study, we are able to discuss the influence of the temperature on the growth dynamics of Ga2O3 and its chemical composition. KW - atomic layer deposition KW - Ga2O3 KW - X-ray photoelectron spectroscopy (XPS) KW - Si, TiO2 , Al2O3 and RuO2 substrates Y1 - 2013 UR - http://www.dpg-verhandlungen.de/year/2013/conference/regensburg/part/ds/session/4/contribution/2?lang=de PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Brizzi, Simone A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - CVD and ALD deposited hafnia: an XPS study T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - In this work we report on Hafnium oxide deposited on silicon by means of chemical vapour deposition (CVD) and atomic layer deposition (ALD) using tetrakis-di-methyl-amino-Hf (TDMAHf) and water as precursors. We have studied the behavior of ALD and CVD at intermediate temperatures: ALD was performed outside the ALD window (T>300∘C), whereas CVD was performed at low temperatures, approaching the ALD window (T<400∘C). In this way we wanted to elucidate about the possibility of taking advantage of the conformality of ALD films and the high growth rate of CVD at the same time. Comparable sets of samples prepared with the two methods were measured by X-ray photoelectron spectroscopy and atomic force microscopy in order to determine differences between them: growth rate, Hf/O ratio, valence band positions and roughness are discussed and compared as a function of deposition temperature and process parameters. KW - HfO2 KW - chemical vapor deposition KW - atomic layer deposition (ALD) KW - X-Ray photoelectron spectroscopy Y1 - 2013 UR - http://www.dpg-verhandlungen.de/year/2013/conference/regensburg/part/ds/session/30/contribution/1?lang=de PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Das, Chittaranjan A1 - Tallarida, Massimo A1 - Skorupska, Katarzyna A1 - Lewerenz, Hans-Joachim A1 - Schmeißer, Dieter T1 - An efficient Si photo cathode for a wide range of electrolyte pH values T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Hydrogen fuel cells, being environmental friendly to produce energy, are a technology of future. One of the efficient ways to produce hydrogen is solar driven photocatalysis using semiconducting materials as photo electrodes. The choice of electrodes is a crucial factor and is done on the basis of photo corrosion stability, light absorption efficiency, and photocarrier lifetime. P-type Si can be used as photo cathode to produce H2 by direct photocatalysis. Si cathodes can be used in acidic electrolytes to have efficient photo catalytic activity but they are unstable in alkaline electrolytes. Therefore, to use both Si electrodes in the same electrolyte, their chemical stability should be extended over a wide range of pH. To this purpose we modified the surface of a p-type Si photocathode with very thin films of TiO2 grown by atomic layer deposition (ALD). We found that the modified Si cathode shows an increased photoresponse and a lower onset potential with respect to the pristine surface and an increased stability at various pH values. KW - Si photoelectrode KW - water splitting KW - atomic layer deposition (ALD) KW - TiO2 Y1 - 2013 UR - http://www.dpg-verhandlungen.de/year/2013/conference/regensburg/part/ds/session/4/contribution/3?lang=de PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Kolanek, Krzysztof A1 - Tallarida, Massimo A1 - Michling, Marcel A1 - Schmeißer, Dieter T1 - In situ study of the atomic layer deposition of HfO2 on Si T2 - Journal of Vacuum Science and Technology Y1 - 2012 SN - 0734-2101 IS - A 30 SP - 01A143-1 EP - 01A143-15 ER - TY - GEN A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - In situALD experiments with synchrotron radiation photoelectron spectroscopy T2 - Semiconductor Science and Technology N2 - In this contribution, we describe some features of atomic layer deposition (ALD) investigated by means of synchrotron radiation photoelemission spectroscopy (SR-PES). In particular, we show how the surface sensitivity of SR-PES combined with the in situ nature of our investigations can point out interactions between the substrate and ALD precursors. We observed changes on all substrates investigated, included Si, GaAs, Ru and their surface oxides. These interactions are extremely important during the first ALD cycles and induce modifications in the substrate, which might lead to its functionality enhancement. KW - Atomic layer deposition (ALD) KW - in situ KW - photoelectron spectroscopy Y1 - 2012 U6 - https://doi.org/10.1088/0268-1242/27/7/074010 SN - 1361-6641 VL - 27 IS - 7 SP - 074010 ER - TY - CHAP A1 - Tallarida, Massimo T1 - III-V/oxide interfaces investigated with synchrotron radiation photoemission spectroscopy T2 - Meeting Abstracts-Electrochemical Society, Pacific Rim Meeting on Electrochemical and Solid-State Science, Honolulu, 2012 KW - interfaces KW - III/V KW - Synchrotron Photoemission Spectroscopy Y1 - 2012 UR - http://ma.ecsdl.org/content/MA2012-02/31/2587.full.pdf+html N1 - MA2012-02(31) SP - 2587 EP - 2587 PB - The Electrochemical Society ER - TY - GEN A1 - Tallarida, Massimo A1 - Kukli, Kaupo A1 - Michling, Marcel A1 - Ritala, Mikko A1 - Leskelä, Markku A1 - Schmeißer, Dieter T1 - Substrate Reactivity Effects in the Atomic Layer Deposition of Aluminum Oxide from Trimethylaluminum on Ruthenium T2 - Chemistry of Materials Y1 - 2011 SN - 0897-4756 VL - 23 IS - 13 SP - 3159 EP - 3168 ER - TY - GEN A1 - Kolanek, Krzysztof A1 - Tallarida, Massimo A1 - Michling, Marcel A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter T1 - Atomic layer deposition reactor for fabrication of metal oxides Y1 - 2011 ER - TY - GEN A1 - Tallarida, Massimo A1 - Friedrich, Daniel A1 - Städter, Matthias A1 - Michling, Marcel A1 - Schmeißer, Dieter T1 - Growth of TiO2 with Thermal and Plasma Enhanced Atomic Layer Deposition T2 - Journal of Nanoscience and Nanotechnology Y1 - 2011 SN - 1533-4880 VL - 11 IS - 9 SP - 8049 EP - 8053 ER - TY - GEN A1 - Tallarida, Massimo A1 - Adelmann, Christoph A1 - Delabie, Annelies A1 - van Elshocht, Sven A1 - Caymax, Matty A1 - Schmeißer, Dieter T1 - GaAs clean up studied with synchrotron radiation photoemission T2 - IOP Conference Series : Materials Science and Engineering N2 - In this contribution we describe the chemical changes at the surface of GaAs upon adsorption of tri-methyl-aluminum (TMA). TMA is used to grow Al2O3 with atomic layer deposition (ALD) usually using H2O as oxygen source. Recently, it was pointed out that the adsorption of TMA on various III-V surfaces reduces the native oxide, allowing the growth of an abrupt III-V/High-K interface with reduced density of defects. Synchrotron radiation photoemission spectroscopy (SR-PES) is a powerful method to characterize surfaces and interfaces of many materials, as it is capable todetermine their chemical composition as well as the electronic properties. We performed in-situ SR-PES measurements after exposing a GaAs surface to TMA pulses at about 250°C. Upon using the possibility of tuning the incident photon energy we compared the Ga3d spectra at 41 eV, 71 eV, 91 eV and 121 eV, as well as the As3d at 71 eV and 91 eV. Finally, we show that using SR-PES allows a further understanding of the surface composition, which is usually not accessible with other techniques. KW - photoemission spectroscopy KW - atomic layer deposition KW - GaAs Y1 - 2012 U6 - https://doi.org/10.1088/1757-899X/41/1/012003 SN - 1757-899X IS - 41 SP - 012003 ER - TY - CHAP A1 - Schmeißer, Dieter A1 - Richter, Matthias A1 - Tallarida, Massimo ED - Heber, Jörg ED - Schlom, Darrell ED - Tokura, Yoshinori ED - Waser, Rainer ED - Wuttig, Matthias T1 - Intrinsic defects in TiO2 to explain resistive switching devices T2 - Frontiers in Electronic Materials: A Collection of Extended Abstracts of the Nature Conference Frontiers in Electronic Materials, June 17 to 20 2012, Aachen, Germany KW - resonant photoelectron spectroscopy KW - Auger processes KW - XPS KW - X-ray absorption spectroscopy Y1 - 2012 SN - 978-3-527-41191-7 U6 - https://doi.org/10.1002/9783527667703.ch45 SP - 255 EP - 256 PB - Wiley-VCH CY - Weinheim ER - TY - CHAP A1 - Das, Chittaranjan A1 - Städter, Matthias A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Investigation of Linear Dichroism in ALD layers of TiO2 T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 47 N2 - Titanium dioxide is on the most studied material due to its wide range of applications in various fields such as self cleaning, photocatalysis, solar cell, water splitting, bio-implants, etc. In order to increase its efficiency in water splitting and solar cell, it is necessary to understand the crystal structure and electronic properties in thin films. In our approach we are investigating the X-ray linear dichroism (XLD) of thin layers of TiO2. XLD studies have been done in multiferroics, antiferromagnetic, and ferromagnetic materials, but a very less amount of work have been done in studying XLD effect of TiO2 thin films. These layers are grown by in-situ atomic layer deposition (ALD) system and are investigated with X-ray absorption spectroscopy (XAS) at BESSY II, Berlin. ALD is a promising technique to grow thin films on different substrates conveniently due to its uniform deposition property. XAS study will also help us to better understand the interfacial electronic properties of thin films of TiO2. Y1 - 2012 UR - http://www.dpg-verhandlungen.de/year/2012/conference/berlin/part/ds/session/44/contribution/59 SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Tallarida, Massimo A1 - Michling, Marcel A1 - Das, Chittaranjan A1 - Friedrich, Daniel A1 - Städter, Matthias A1 - Schmeißer, Dieter T1 - Role of substrate chemistry in ALD revealed by in-situ techniques T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 47 N2 - We show recent results of our in-situ investigations where the role of substrate chemistry in ALD is outlined. While the usual strategy to develop new properties of ALD films is to find new precursors or new procedures, the influence of substrate chemistry on the growth properties of ALD films has been often underestimated. This has principally a technical reason, as the usual characterization methods (QMS, FTIR, ellipsometry) are only weakly sensitive to the substrate, and a characterization of substrates before ALD is often not possible. Thanks to the use of in-situ characterization methods, including photoemission and X-ray absorption spectroscopy with synchrotron radiation, we are able to determine chemical properties of substrates before ALD and after either half or complete ALD cycles. The substrate chemistry influences the standard Al2O3 ALD with TMA and water [1,2], as well as the TiO2 ALD with TTIP and either water, O2 or O2-plasma. [1] M. Tallarida, K. Kukli, M. Michling, M. Ritala, M. Leskelä and D. Schmeisser, Chem. Mater. 23, 3159 (2011); [2] M. Tallarida, C. Adelmann, A. Delabie, S. van Elshocht, M. Caymax, and D. Schmeisser, Appl. Phys. Lett. 92, 042906 (2011). Y1 - 2012 UR - http://www.dpg-verhandlungen.de/year/2012/conference/berlin/part/ds/session/22/contribution/3 SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Tallarida, Massimo A1 - Adelmann, Christoph A1 - Delabie, Annelies A1 - Elshocht, Sven van A1 - Caymax, Matty A1 - Schmeißer, Dieter T1 - Surface chemistry and Fermi level movement during the self-cleaning of GaAs by trimethyl-aluminum T2 - Applied physics letters Y1 - 2011 U6 - https://doi.org/10.1063/1.3615784 SN - 1077-3118 VL - 99 IS - 4 SP - 042906-1 EP - 042906-3 ER - TY - GEN A1 - Tallarida, Massimo A1 - Weisheit, Martin A1 - Kolanek, Krzysztof A1 - Michling, Marcel A1 - Engelmann, Hans-Jürgen A1 - Schmeißer, Dieter T1 - Atomic layer deposition of nanolaminate oxide films on Si T2 - Journal of Nanoparticle Research Y1 - 2011 U6 - https://doi.org/10.1007/s11051-011-0319-x VL - 13 IS - 11 SP - 5975 EP - 5983 ER - TY - GEN A1 - Kukli, Kaupo A1 - Kemell, Marianna A1 - Puukilainen, Esa A1 - Aarik, Jaan A1 - Aidla, Aleks A1 - Sajavaara, Timo A1 - Laitinen, Mikko A1 - Tallarida, Massimo A1 - Sundqvist, Jonas A1 - Ritala, Mikko A1 - Leskelä, Markku T1 - Atomic Layer Deposition of Ruthenium Films from (Ethylcyclopentadienyl)(pyrrolyl)ruthenium and Oxygen T2 - Journal of the Electrochemical Society N2 - Ru films were grown by atomic layer deposition in the temperature range of 275-350 degrees C using (ethylcyclopentadienyl)(pyrrolyl)ruthenium and air or oxygen as precursors on HF-etched Si, SiO(2), ZrO(2), and TiN substrates. Conformal growth was examined on three-dimensional silicon substrates with 20: 1 aspect ratio. ZrO(2) promoted the nucleation of Ru most efficiently compared to other substrates, but the films roughened quickly on ZrO(2) with increasing film thickness. The minimum number of cycles required to form continuous and conductive metal layers could be decreased by increasing the length of the oxygen pulse. In order to obtain well-conducting Ru films growth to thicknesses of at least 8-10 nm on any surface was necessary. Resistivities in the ranges of 30-60 and 14-16 mu Omega . cm were achieved for 4-6 and 10-15 nm thick films, respectively. Delamination became an issue in the Ru films grown to thicknesses about 10 nm and higher. KW - Atomic layer deposition (ALD) KW - Ruthenium Y1 - 2011 U6 - https://doi.org/10.1149/1.3533387 SN - 0013-4651 VL - 158 IS - 3 SP - D158 EP - D165 ER - TY - CHAP A1 - Michling, Marcel A1 - Kolanek, Krzysztof A1 - Schmeißer, Dieter A1 - Tallarida, Massimo T1 - A growth model for the HfO2 ALD process T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 46 N2 - In this contribution we report on our in-situ2 cycle-by-cycle (up to the 25 cycles) investigation of the HfO2 atomic layer deposition (ALD) process using the method of x-ray photoelectron spectroscopy (XPS) and electron energy loss spectroscopy (EELS). We used Tetrakis-Dimethyl-Amino-Hafnium (TDMA-Hf) and H2O as precursors and p-type Si wafer with native oxide as a substrate. The XPS measurements were carried out at BESSY II in Berlin with primary energies of 150 eV and 640 eV and the EELS measurements were done with a primary energy of 50 eV. We measured the O1s, Si2p core level and the valence band including the Hf 4f core level. From the Hf4f to Si2p ratios taken at each energy we developed a growth model for the first monolayer and for the following layers too. From our data we conclude, that in the first monolayer up to the fourth ALD cycle an island growth occurs. The height of these islands is about 0,5nm. After the first monolayer is completed, a layer- by-layer growth can be expected. In order to proof this observation we have simulated the Hf/Si ratio for different excitation energies and found a very good agreement with our measurement data. The EELS data especially the evaluation of the loss function onset confirm our growth model. Y1 - 2011 UR - http://www.dpg-verhandlungen.de/year/2011/conference/dresden/part/ds/session/12/contribution/1 SN - 0420-0195 SP - S. 294 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Tallarida, Massimo A1 - Deßmann, Nils A1 - Städter, Matthias A1 - Friedrich, Daniel A1 - Michling, Marcel A1 - Schmeißer, Dieter T1 - Atomic layer deposition of TiO T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 46 N2 - We present a study of the initial growth of TiO2 on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH4F before ALD to remove the native oxide film and to produce a Si-H termination. In−situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O1s, Ti2p, C1s, and Si2p core level, and O1s and Ti2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1nm/cycle) after 1.5nm Ti-oxide has been deposited. Y1 - 2011 UR - http://www.dpg-verhandlungen.de/year/2011/conference/dresden/part/ds/session/12/contribution/2 SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Sioncke, Sonja A1 - Lin, Hang-Chu A1 - Brammertz, Guy A1 - Delabie, Annelies A1 - Conard, Thierry A1 - Franquet, Alexis A1 - Caymax, Matty A1 - Meuris, Marc A1 - Schmeißer, Dieter A1 - Tallarida, Massimo T1 - ALD on high mobility channels: engineering the proper gate stack passivation T2 - ECS Transactions KW - ALD KW - engineering KW - gate stack KW - passivation Y1 - 2010 SN - 1938-5862 VL - 33 IS - 2 SP - 9 EP - 23 ER - TY - GEN A1 - Tamm, Aile A1 - Kemell, Marianna A1 - Kozlova, Jekaterina A1 - Sajavaara, Timo A1 - Tallarida, Massimo A1 - Kukli, Kaupo A1 - Sammelselg, V. A1 - Ritala, Mikko A1 - Leskelä, Markku T1 - Atomic Layer Deposition and Characterization of Erbium Oxide-Doped Zirconium Oxid Thin Films T2 - Journal of The Electrochemical Society KW - atomic Layer deposition KW - Erbium KW - Zirconium KW - Oxid-Doped Y1 - 2010 VL - 157 IS - 10 SP - G193 EP - G201 ER - TY - GEN A1 - Kukli, Kaupo A1 - Aarik, Jaan A1 - Aidla, Aleks A1 - Uustare, Teet A1 - Jõgi, Indrek A1 - Lu, Jun A1 - Tallarida, Massimo A1 - Kemell, Marianna A1 - Kiisler, Alma- Asta A1 - Ritala, Mikko A1 - Leskelä, Markku T1 - Structure and morphology of Ru films grown by atomic layer deposition from 1-ethyl-1´-methyl-ruthenocene T2 - Journal of Crystal Growth KW - morphology KW - atomic layer deposition Y1 - 2010 U6 - https://doi.org/10.1016/j.jcrysgro.2010.03.033 SN - 0022-0248 VL - 312 IS - 12-13 SP - 2025 EP - 2032 ER - TY - CHAP A1 - Wyrodek, Jakub A1 - Tallarida, Massimo A1 - Weisheit, Martin A1 - Schmeißer, Dieter T1 - Determination of interfacial layers in high-k nanomaterials by ADXPS measurements Y1 - 2010 ER - TY - GEN A1 - Schmeißer, Dieter A1 - Henkel, Karsten A1 - Bergholz, Matthias A1 - Tallarida, Massimo T1 - The band gab and band offset in ultrathin oxide-semiconductor heterostructures KW - band gab KW - offset KW - ultrathin KW - heterostructures Y1 - 2010 ER - TY - CHAP A1 - Wyrodek, Jakub A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Weisheit, Martin T1 - Determination of interfacial layers in high - k ALD nanolaminate materials by ARXPS and SRXPS measurements T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 45 N2 - The interfacial layers of high dielectric constant (high - k) nanolaminate films are here explored. Problems concerning ALD nanolaminate layers deals mainly with lack of accurate methods to determine in depth profile of few nm thick stacks. Modified angle resolved XPS(ARXPS) and synchrotron radiation XPS(SRXPS) are proposed as methods suitable in layer profiling. Studied stacks containing ZrO/HfO or AlO/ZrO, were prepared on Si substrates by atomic layer deposition (ALD). Two sets of experiments were covered. First dealt with initial growth (up to 20 cycles, with thickness d < 2nm) of AlO/ZrO and included layer by layer insitu investigation by SRXPS. Second experiment refer to industrial grown ZrO/HfO films ( d ∼ 3nm ) processed with various parameters resulting in both, layer by layer and homogenous depositions. For those samples exsitu XPS, with angle dependent variation of probing depth, measurements were covered. By comparing obtained intensity ratios for different angles with computational developed stack model it was found that no simple layer by layer but some intermixing growth occurred including interaction with silicon substrate. Y1 - 2010 UR - http://www.dpg-verhandlungen.de/year/2010/conference/regensburg/part/ds/session/24/contribution/6 SN - 0420-0195 SP - S. 232 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Starzyk, Łukasz A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Electrically optimized high-κ metal gate MOSFET by specific modification of the band alignment T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 45 N2 - The electrical optimization of metal/oxide/semiconductor gate stacks by specific modification of the band alignment for advanced MOS technology incorporating high dielectric constant (κ) materials is explored. Because of requirements concerning continued scaling of MOSFET transistors, gate oxides and cobalt electrode have been grown successively on Si substrate respectively by means of atomic layer deposition (ALD) and evaporation. The thicknesses of high-κ films were around 2 nm. In case of work function engineering, interfaces’ chemistry plays a fundamental role. We applied synchrotron radiation based x-ray photoelectron spectroscopy (SR XPS) to characterize our samples, which allows step by step in situ investigations. Co 2p, Al 2p, Hf 4f, Si 2p and O 1s core levels spectra were measured and analyzed. From valence band (VB) spectra we determined Schottky barrier height and electronic bands offsets. Y1 - 2010 UR - http://www.dpg-verhandlungen.de/year/2010/conference/regensburg/part/ds/session/23/contribution/3 SN - 0420-0195 SP - S. 231 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Michling, Marcel A1 - Tallarida, Massimo A1 - Kolanek, Krzysztof A1 - Schmeißer, Dieter T1 - In-situ EELS and UPS measurements on HfO2 ALD layers T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 45 N2 - In this contribution we report on our in-situ cycle-by-cycle (up to 10 cycles) investigations of the HfO2 ALD process using the methods of EELS and UPS. We used TDMA-Hf as a precursor and p-type Si wafer with natural oxide as the substrate. The EELS measurements were done with a primary energy of 52,5 eV and the UPS measurements with He I (21,218 eV). The change in the onset of the loss function is readily observed. Already after two cycles the value approach to the bulk value of HfO2. Upon ALD growth there is a remarkable decrease in the intensity of states within the gap. They are rather smooth and saturate after 10 cycles. With UPS we follow the variation of the VB onset and changes in the secondary electron onset. We summarize our data in a band diagram not based on bulk values but on cycle dependent quantities. With these cycle-by-cycle experiments we study the initial growth of HfO2 especially in the very first cycles. Y1 - 2010 UR - http://www.dpg-verhandlungen.de/year/2010/conference/regensburg/part/ds/session/24/contribution/5 SN - 0420-0195 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Kolanek, Krzysztof A1 - Karavaev, Konstantin A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Atomic layer deposition of HfO2 onto SiO2 substrates investigated in-situ by non-contact UHV/AFM T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 45 N2 - We investigated in-situ the atomic layer deposition (ALD) of HfO2 onto SiO2 substrates with ultra high vacuum (UHV) non-contact atomic force microscope (NC-AFM). The ALD process was started after detailed analysis of the initial Si(001)/SiO2 substrate. The ALD cycles, made by using tetrakis-di-methyl-amido-Hf (TDMAHf) and water as precursors, were performed on the SiO2 substrate maintained at 230 ∘C. We studied the relation between the film growth and the root mean square surface roughness, surface skewness, kurtosis, fractal dimension and correlation length. In the initial stages of the ALD process with our analysis of the surface height histograms we were capable of determination: HfO2 layer thickness, surface coverage and surface roughness of a substrate and deposited material. Observation of the surface height histograms evolution during deposition allowed us to verify conformal and effective ALD growth on SiO2 substrate. With this detailed analysis of the surface topography we confirmed the completion of the first HfO2 layer after four ALD cycles. Y1 - 2010 SN - 0420-0195 SP - S. 248 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Kolanek, Krzysztof A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter T1 - In situ measurements of the atomic layer deposition of high-k dielectrics by atomic force microscope for advanced microsystems T2 - International Students and Young Scientists Workshop "Photonics and Microsystems", 2009, 25 - 27 June 2009, Harz University, Wernigerode, Germany KW - atomic layer deposition KW - microsystems KW - high-k dielectrics Y1 - 2009 SN - 978-1-4244-4303-1 SP - 47 EP - 51 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Kolanek, Krzysztof A1 - Schmeißer, Dieter T1 - Nobel "in-situ2" Approach to Modified ALD Processes for Nano-functional Metals Oxide Films KW - in-situ2 KW - ALD KW - nano-functional Y1 - 2009 ER - TY - GEN A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter T1 - Novel "In-situ2" Approach to Modified ALD Processes for Nano-functional Metal Oxide Films Y1 - 2009 ER - TY - CHAP A1 - Karavaev, Konstantin A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Evolution of the interfacial layer during the atomic layer deposition of HfO2 on Si/SiO2 substrates T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 44 N2 - We studied the formation of the interfacial layer in the Si/SiO2/HfO2 system using the in-situ Atomic Layer Deposition (ALD) reactor developed in our group [1,2]. We measured the X-ray photoelectron and X-ray absorption spectra with synchrotron radiation at the beamline U49-2/PGM2-BESSY II. The ALD growth was obtained using different Hf-precursors (HfCl4,TEMAHf and TDMAHf) on various prepared substrates at different temperatures. The investigation was carried out in-situ giving the possibility to determine the properties of the grown film after every ALD cycle without breaking the vacuum. We observed the evolution of the Si/SiO2/HfO2 system during the formation of first three Hf-oxide layers, detecting the interfacial growth of SiO2 during the initial ALD cycles from the XPS spectra of Si2p. We discuss how the interfacial layer growth depends on the various ALD parameters. [1] M. Tallarida, K. Karavaev, and D. Schmeisser, J. Appl. Phys. 104, 064116 (2008); [2] M. Tallarida, K. Karavaev, and D. Schmeisser, J. Vac. Sci. Technol. B, accepted for publication. Y1 - 2009 SN - 0420-0195 SP - S. 160 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Karavaev, Konstantin A1 - Kolanek, Krzysztof A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - In-Situ Studies of ALD Growth of Hafnium Oxide Films Y1 - 2009 ER - TY - CHAP A1 - Kolanek, Krzysztof A1 - Karavaev, Konstantin A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - In-situ investigations of the atomic layer deposition of HfO2 with UHV/AFM T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 44 N2 - We studied in-situ the atomic layer deposition (ALD) of HfO2 with ultra high vacuum (UHV) atomic force microscope (AFM), using the ALD reactor developed by our group [1, 2]. The reactor was attached to the Omicron Large Sample-UHV/AFM system in the AFM-Lab of the Angewandte Physik-Sensorik chair at the BTU-Cottbus. We investigated different Si(001)/SiO2 substrates and surface preparation techniques performed before the ALD process. After each ALD cycle (using TDMAHf and H2O as precursors), we studied the influence of the HfO2 growth on the root mean square (RMS) roughness; the surface fractal dimension and the height histogram: the surface skewness and kurtosis. We focused on the influence of the substrate temperature on the surface topography during the ALD. The in-situ studies of the ALD process with the UHV/AFM system correlated with the experiments performed with photoelectron spectroscopy can be used for understanding the fundamental properties of the ALD of HfO2 on Si(001). [1] M. Tallarida, K. Karavaev, and D. Schmeisser, J. Appl. Phys. 104, 064116 (2008); [2] M. Tallarida, K. Karavaev, and D. Schmeisser, J. Vac. Sci. Technol. B, accepted for publication. Y1 - 2009 UR - http://www.dpg-verhandlungen.de/year/2009/conference/dresden/part/ds/session/11/contribution/6 SN - 0420-0195 SP - S. 160 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Kolanek, Krzysztof A1 - Schmeißer, Dieter T1 - Basics of the atomic layer deposition of HfO2 onto Si/SiO2 substrates: in-situ investigations with XPS, XAS and UHV-AFM T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft ; Reihe 6, Bd. 44 N2 - We developed a reactor for investigating in-situ the atomic layer deposition (ALD) of HfO2. X-ray photoelectron and X-ray absorption spectra were collected after each ALD cycle using synchrotron radiation at the beamline U49-2/PGM2 - BESSY II, Berlin. The morphology of the substrate and thin film surfaces was investigated after each ALD cycle with an UHV-AFM microscopy attached to the ALD reactor. We studied the ALD on differently prepared substrates, at different substrate temperatures, and using different Hf-precursors (HfCl4, TEMAHf, TDMAHf). We observed the evolution of the Si/SiO2/HfO2 system during the formation of the first three Hf-oxide layers [1]; we detected the incorporation of Cl into the Hf-oxide films and proposed a mechanism responsible for the Cl contamination [2]; we found evidence of the interfacial-SiO2 growth during the initial ALD cycles and of dipole formation at the HfO2/SiO2 interface. In this contribution we illustrate the basics of the technique used, and discuss the physical-chemical properties of ALD on the basis of the experimental results. [1] M. Tallarida, K. Karavaev, and D. Schmeisser, J. Appl. Phys. 104, 064116 (2008); [2] M. Tallarida, K. Karavaev, and D. Schmeisser, J. Vac. Sci. Technol. B, accepted for publication. Y1 - 2009 UR - http://www.dpg-verhandlungen.de/year/2009/conference/dresden/part/ds/session/11/contribution/4 SN - 0420-0195 SP - S. 159 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Kolanek, Krzysztof A1 - Schmeißer, Dieter T1 - In-situ experimental approach to the study of atomic layer deposition with atomic force microscope, X-ray photoelectron and X-ray absorption spectroscopy T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft ; Reihe 6, Bd. 44 N2 - We describe our experimental approach to the investigation of atomic layer deposition (ALD). ALD is a powerful deposition technique for growing conformal thin films of composite materials with atomically accurate thickness on large area [1]. Despite the enormous industrial interest growing around ALD, its fundamental properties were not yet properly studied. We developed an ALD reactor for the in-situ investigations using XPS, XAS and AFM as experimental techniques. We studied the chemical-physical properties of the growing thin films after each deposition cycle. Here, we illustrate the recent results concerning the ALD of HfO2 obtained using different Hf-precursors on various substrates [2]. We show how the in-situ investigations could deliver an important insight into the fundamental characteristics of ALD and how these information could be used to modify and optimize the deposition parameters. [1] M. Leskelä, M. Ritala, Thin Solid Films, 409, 138, 2002; [2] M. Tallarida, K. Karavaev, and D. Schmeisser, J. Appl. Phys. 104, 064116 (2008). Y1 - 2009 UR - http://www.dpg-verhandlungen.de/year/2009/conference/dresden/part/ds/session/26/contribution/15 SN - 0420-0195 SP - S.176 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - JOUR A1 - Sohal, Rakesh A1 - Lupina, Grzegorz A1 - Lippert, Gunther A1 - Wenger, Christian A1 - Seifarth, Olaf A1 - Schröder, Thomas A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Interface chemistry of high-k PrxAl2-xO3 (x=2-0) dielectrics on TiN for dynamic random access memory applications KW - high-k KW - dielectric KW - random Y1 - 2008 ER - TY - JOUR A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Study of silicon/oxides interfaces by means of Si2p resonant photoemission KW - photoemission KW - Si2p Y1 - 2008 ER - TY - JOUR A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter T1 - The initial atomic layer deposition of HfO2/Si(001) as followed in situ by synchrotron radiation photoelectron spectroscopy KW - synchrotron KW - photoelectron KW - HfO2 Y1 - 2008 ER - TY - GEN A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Study of Bulk and Interface Defects in Silicon Oxide with X-ray Absorption Spectroscopy T2 - Materials Science and Engineering : B Y1 - 2007 SN - 0921-5107 VL - 144 IS - 1-3 SP - 23 EP - 26 ER - TY - GEN A1 - Schroeder, Thomas A1 - Lupina, Grzegorz A1 - Seifarth, Olaf A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Synchrotron radiation x-ray photoelectron spectroscopy study on the interface chemistry of high-k PrxAl2-xO3 (x=0-2) dielectrics on TiN for dynamic random access memory applications T2 - Journal of Applied Physics Y1 - 2007 SN - 0021-8979 VL - 102 IS - 1 SP - 014103 ER - TY - CHAP A1 - Karavaev, Konstantin A1 - Tallarida, Massimo A1 - Schmeißer, Dieter ED - Vierhaus, Heinrich Theodor T1 - In-situ Atomic Layer Deposition growth of Hafnium oxide T2 - DEDIS-Nano-Days, October 11th and October 12th, 2007 Y1 - 2007 SP - 13 EP - 19 PB - BTU CY - Cottbus ER - TY - JOUR A1 - Tallarida, Massimo A1 - Schmeißer, Dieter T1 - Study of bulk and interface defects in silicon oxide with X-ray absorption spectroscopy Y1 - 2007 ER - TY - CHAP A1 - Tallarida, Massimo A1 - Aballe, Lucia A1 - Kumar, Ashwani A1 - Barman, Sudipto Roy A1 - Horn, Karsten T1 - Characterisation of nearly free electron bands in thin Al-Mg alloy films on Si(111) T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft N2 - Thin films of Al-Mg alloys have been grown on Si(111) by MBE over a wide range of compositions, and were characterized by angle-resolved photoemission. For Al-rich alloys, electron confinement leads to the occurrence of quantum well states, and a surface state similar to that in the Al/Si(111) film is found. For Mg-rich compositions, only a broad surface state is observed. The occurrence of quantum well states and the surface state, and their binding energy dependence on alloy composition is explained in terms of the virtual crystal approximation, with an average electron density in the alloy system. The dispersion of the quantum well states in a direction parallel to the film shows a nearly free electron behaviour similar to that found in Al/Si(111). The variation of electron density in these alloy films open the way for a study of more complex metallic systems, e.g. an investigation of the influence of electron density of the electromagnetic response. KW - X-Ray photoelectron spectroscopy KW - angle-resolved KW - Al-Mg alloy KW - Si(111) KW - moöecuar beam epxitaxy (MBE) Y1 - 2005 UR - http://www.dpg-verhandlungen.de/year/2005/conference/berlin/part/o/session/52/contribution/8?lan=en PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Zheng, Fan T1 - X-ray Absorption and Photoemission Spectroscopy of 3C- and 4H-SiC Y1 - 2006 ER - TY - CHAP A1 - Tallarida, Massimo A1 - Sohal, Rakesh A1 - Schmeißer, Dieter T1 - Resonant photoemission at the oxygen K edge as a tool to study the electronic properties of defects at SiO2/Si and SiO2/SiC interfaces Y1 - 2006 ER - TY - JOUR A1 - Tallarida, Massimo A1 - Sohal, Rakesh A1 - Schmeißer, Dieter T1 - Resonant photoemission at the Oxygen K edge as a tool to study the eletronic properties of defects at SiO2/Si and SiO2/SiC interfaces Y1 - 2006 ER - TY - CHAP A1 - Tallarida, Massimo A1 - Sohal, Rakesh A1 - Schmeißer, Dieter T1 - Electronic properties of the 2x1 3C-SiC surface reconstruction studied with resonant photoemission T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 41 N2 - We have studied the 2x1 reconstructed surface of the 3C-SiC polytype by means of photoemission spectroscopy. The reconstruction was characterized through Si2p and C1s core level and angle-integrated valence band spectroscopy, and confirmed by the observation of a two-domain 2x1 LEED pattern. The electronic properties of this surface were investigated by collecting valence band spectra at photon energies near the Si2p and C1s absorption edges. The results show a strong dependence of the photoemission intensity on the excitation energy with characteristic resonances for certain valence band features. With a detailed study of the resonances we are able to assign the electronic origin of the resonating states and the nature of the electronic transition near the absorption edges. Y1 - 2006 UR - http://www.dpg-verhandlungen.de/year/2006/conference/dresden/part/hl/session/5/contribution/2 SN - 0420-0195 SP - S. 239 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Karavaev, Konstantin A1 - Tallarida, Massimo A1 - Schmeißer, Dieter A1 - Zschech, Ehrenfried T1 - In-situ ALD growth of Hafnium oxide films T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft ; Reihe 6, Bd. 43 N2 - We report on a novel system for in-situ atomic layer growth (ALD) of high-k dielectric films. First results were obtained for Hf-oxide samples by using Hf-tetrachloride as precursor and water as oxidizer. We compare the photoelectron spectra of Si2p, O1s and Hf4f of our in-situ prepared films with samples (ex-situ) prepared by industrial ALD reactors and discuss similarities and differences observed in the core level spectra of the various samples by considering the different growth conditions. Y1 - 2008 UR - http://www.dpg-verhandlungen.de/year/2008/conference/berlin/part/ds/session/23/contribution/12 SN - 0420-0195 SP - S. 244 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - CHAP A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter A1 - Zschech, Ehrenfried T1 - HfO2 ALD-growth studied by in situ photoemission T2 - Proceedings of 15th Workshop on Dielectrics in Micoelectronics, Bad Saarow, 23 - 25 June 2008 KW - ald KW - photoemission Y1 - 2008 SP - 289 EP - 290 ER - TY - CHAP A1 - Tallarida, Massimo A1 - Karavaev, Konstantin A1 - Schmeißer, Dieter A1 - Zschech, Ehrenfried T1 - Photoemission and absorption spectroscopy for in situ investigations of the ALD growth T2 - Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 43 N2 - We have investigated the growth of Hf-oxide on Si by means of photoemission and X-ray absorption spectroscopy using synchrotron radiation at Bessy, Berlin. The Hf-oxide layers were grown via atomic layer deposition (ALD) using an in-situ ALD reactor attached to the investigation chamber. The XPS and XAS spectra were measured after every deposition cycle by transferring the sample into the investigation chamber without breaking the vacuum. From the experimental data we have obtained information about the early stages of the Hf-oxide growth, concerning in particular the reactivity of the interface with Si. Due to the possibility to study the layers after every cycle and with different oxidation parameters without exposing them to contaminants, the in situ investigation revealed to be a very important method to understand the growth properties of Hf-oxide. Y1 - 2008 UR - http://www.dpg-verhandlungen.de/year/2008/conference/berlin/part/ds/session/22/contribution/5 SN - 0420-0195 SP - S. 242 PB - Deutsche Physikalische Gesellschaft CY - Bad Honnef ER - TY - GEN A1 - Schmeißer, Dieter A1 - Tallarida, Massimo A1 - Henkel, Karsten A1 - Müller, Klaus A1 - Mandal, Dipankar A1 - Chumakov, Dmytro A1 - Zschech, Ehrenfried T1 - Characterization of oxidic and organic materials with synchrotron radiation based XPS and XAS KW - oxidic KW - organic KW - materials KW - synchrotron radiation KW - XPS KW - XAS Y1 - 2009 ER -