TY - GEN A1 - Tabatt, Peter A1 - Jelonek, Jonas A1 - Schölzel, Mario A1 - Lehniger, Kai A1 - Langendörfer, Peter T1 - Code Mutation as a Mean Against ROP Attacks for Embedded Systems T2 - 11th Mediterranean Conference on Embedded Computing (MECO 2022), Budva, Montenegro, 07-10 June 2022 Y1 - 2022 SN - 978-1-6654-6828-2 SN - 978-1-6654-6827-5 U6 - https://doi.org/10.1109/MECO55406.2022.9797185 SN - 2637-9511 ER - TY - CHAP A1 - Skoncej, Patryk A1 - Mühlbauer, Felix A1 - Schölzel, Mario ED - Cunningham, Douglas W. ED - Hofstedt, Petra ED - Meer, Klaus ED - Schmitt, Ingo T1 - Softwarebasierte Selbstreparatur von Flash-Speichern für fehlertolerante mikrocontroller-basierte Systeme T2 - Informatik 2015, Tagung vom 28. September – 2. Oktober 2015 in Cottbus Y1 - 2015 SN - 978-3-88579-640-4 SP - 1461 EP - 1473 PB - Gesellschaft für Informatik e.V. CY - Bonn ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Müller, Sebastian A1 - Scharoba, Stefan A1 - Röder, Stefanie A1 - Vierhaus, Heinrich Theodor T1 - A Comprehensive Software-Based Self-Test and Self-Repair Method for Statically Scheduled Superscalar Processors T2 - 17th IEEE Latin-American Test Symposium - LATS 2016 Y1 - 2016 SN - 978-1-5090-1331-9 SP - 33 EP - 38 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Koal, Tobias A1 - Eggersglüß, S. A1 - Schölzel, Mario T1 - Erzeugung diagnostischer Testmuster unter komplexen Contraints T2 - Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 27. GI/GMM/ITG-Workshop, 1.-3. März 2015, Y1 - 2015 PB - Robert Bosch GmbH CY - Reutlingen ER - TY - RPRT A1 - Henkel, Karsten A1 - Paloumpa, Ioanna A1 - Oprea, A. A1 - Appel, Günter A1 - Schmeißer, Dieter A1 - Frandsen, W. A1 - Schölzel, Mario T1 - Entwicklung von Sensoren mit Elektroden aus leitfähigen Polymeren Y1 - 2000 N1 - Teilbericht 16SV335/7 im Gemeinsamen Abschlussbericht zum Verbundprojekt "Sensoren mit mikrostrukturierten Elektroden aus leitfähigen Polymeren", März 2000, Kurzfassung ER - TY - CHAP A1 - Müller, Sebastian A1 - Koal, Tobias A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Timing for Virtual TMR in Logic Circuits T2 - IOLTS 2014, 20th IEEE International On-Line Testing Symposium Hotel Cap Roig, Platja d'Aro, Catalunya, Spain July 7-9, 2014 Y1 - 2014 SN - 978-1-4799-5324-0 ER - TY - CHAP A1 - Koal, Tobias A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Combining Fault Tolerance and Self Repair at Minimum Cost in Power and Hardware T2 - IEEE International Symposium DDECS 2014, Warschau Y1 - 2014 SN - 978-1-4799-4560-3 SP - 153 EP - 159 PB - IEEE CS Press ER - TY - CHAP A1 - Urban, Roberto A1 - Lehniger, Kai A1 - Heyne, Maximilian A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Vergleich der Beschreibung und Simulation einer Befehlssatzarchitektur in LISA und CoMet T2 - MBMV 2014, Böblingen, Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen Y1 - 2014 SN - 978-3-95404-637-9 SP - 101 EP - 112 PB - Cuvillier Verlag CY - Göttingen ER - TY - CHAP A1 - Schölzel, Mario A1 - Pawlowski, Pawel A1 - Dabrowski, Adam T1 - Self Repair by Program Reconfiguration in VLIW Processor Architectures T2 - Design and test technology for dependable systems-on-chip Y1 - 2011 SN - 978-1-6096-0212-3 SP - 241 EP - 266 PB - Information Science Reference CY - Hershay, Pa. [u.a.] ER - TY - BOOK A1 - Vierhaus, Heinrich Theodor A1 - Steininger, Andreas A1 - Pawlak, A. A1 - Kraemer, Rolf A1 - Schölzel, Mario A1 - Raik, Jaan T1 - Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems Y1 - 2011 SN - 978-1-4244-9753-9 PB - Piscataway : IEEE, 2011 ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Reconfigurable High Performance Architectures T2 - 19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn Y1 - 2014 SN - 978-1-4799-3415-7 PB - IEEE ER - TY - CHAP A1 - Urban, Roberto A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Entwicklungsumgebung für den compilerzentrierten Mikroprozessorentwurf (CoMet) T2 - DASS 2014, Dresdner Arbeitstagung Schaltungs- und Systementwurf, Tagungsband Y1 - 2014 SN - 978-3-8396-0738-1 PB - Fraunhofer Verlag CY - Stuttgart ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Diagnostics self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults T2 - International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2014), Amsterdam, Netherlands, 1 - 3 October 2014 Y1 - 2014 SN - 978-1-4799-6155-9 SN - 978-1-4799-6156-6 SP - 27 EP - 32 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Urban, Roberto A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor A1 - Altmann, Enrico A1 - Seelig, Horst T1 - Compiler-Centred Microprocessors Design (CoMet) - From C-Code to a VHDL Model of an ASIP T2 - 18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015, 22-24 April 2015, Belgrade, Serbia, proceedings Y1 - 2015 SN - 978-1-4799-6779-7 SN - 978-1-4799-6780-3 SP - 17 EP - 22 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Scharoba, Stefan A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - On reliability Estimation for Combined Transient and Permanent Fault Handling T2 - 14th Biennial Baltic Electronic Conference (BEC), Tallinn, Estonia, 6-8 October 2014 Y1 - 2014 SN - 978-1-4673-9539-7 SN - 978-1-4673-9540-3 SP - 73 EP - 76 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Müller, Sebastian A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Towards a Graceful Degradable Multicore-System by hierarchical Handling of Hard Errors T2 - DaRMuS 2013, Special Session on Dynamic and Reliable Multicore Systems, Hosted by 21st Euromicro PDP Conference Y1 - 2013 SN - 978-146-735-321-2 SP - 302 EP - 309 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Urban, Roberto A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor ED - Dietrich, Manfred T1 - Compilerzentrierter Mikroprozessor T2 - Tagungsband Dredner Arbeitstagung für Schaltungs- und Systementwurf (DASS 2013) Y1 - 2013 SN - 978-3-8396-0545-5 PB - Fraunhofer-Verl. CY - Stuttgart ER - TY - CHAP A1 - Urban, Roberto A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Ein konfigurierbarer Zwischencodesimulator zum compilerzentrierten Mikroprozessorentwurf T2 - Tagungsband Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2013) Y1 - 2013 PB - Univ., ITMZ CY - Rostock ER - TY - CHAP A1 - Müller, Sebastian A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Hierarchical Self-repair in Heterogenous Multi-core Systems by Means of a Software-based Reconfiguration T2 - VEFRE 8th Workshop on Dependability and Fault-Tolerance, Proceedings of ARCS 2012 Workshops Y1 - 2012 SN - 978-1-4673-1913-3 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Röder, Stephanie A1 - Vierhaus, Heinrich Theodor T1 - Towards an Automatic Generation of Diagnostic In-Field SBST for Processor Components T2 - Proceedings, 14th IEEE Latin American Test Workshop (LATW 2013) Y1 - 2013 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor ED - Raik, Jaan T1 - An Adaptive Self-Test Routine for In-Field Diagnosis of Permanent Faults in Simple RISC Cores T2 - Proceedings, 15th IEEE Interantional Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Tallinn, April 2012 Y1 - 2012 SN - 978-1-4673-1185-4 SP - 312 EP - 317 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Adaptiver softwarebasierter Selbsttest einfacher RISC-Prozessoren zur Lokalisierung von permanenten Fehlern im Feld T2 - Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), Dresden, Mai 2012, Tagungsband Y1 - 2012 SN - 978-3-8396-0404-5 SP - 150 EP - 155 PB - Fraunhofer IIS, Institutsteil EAS [u.a.] CY - Dresden ER - TY - GEN A1 - Lehniger, Kai A1 - Aftowicz, Marcin A1 - Schölzel, Mario A1 - Langendörfer, Peter T1 - Coarse-Grained Control Flow Integrity Check for Processors with Sliding Register Windows T2 - 12th Mediterranean Conference on Embedded Computing (MECO) Y1 - 2023 SN - 979-8-3503-2291-0 SN - 979-8-3503-2290-3 U6 - https://doi.org/10.1109/MECO58584.2023.10154928 SN - 2637-9511 ER - TY - GEN A1 - Lehniger, Kai A1 - Schölzel, Mario A1 - Tabatt, Peter A1 - Aftowicz, Marcin A1 - Langendörfer, Peter T1 - Simplified Control Flow Integrity Method for Permutated Programs T2 - Proc. 32. Crypto-Day Matters 2021, (2021) Y1 - 2021 U6 - https://doi.org/10.18420/cdm-2021-32-21 ER - TY - THES A1 - Schölzel, Mario T1 - Automatisierter Entwurf anwendungsspezifischer VLIW-Prozessoren KW - Entwurfsautomation KW - Hardwareentwurf KW - VLIW-Architektur KW - Design-Space-Exploration KW - VLIW KW - Prozessorentwurf Y1 - 2006 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-397 UR - http://opus.kobv.de/btu/volltexte/2006/39/ ER -