TY - CHAP A1 - Schölzel, Mario T1 - Globale Registerallokation für irreguläre Registerarchitekturen durch Graphfärbung T2 - Informatiktage 2001, fachwissenschaftlicher Informatik-Kongreß, 9. und 10. November 2001 im Neuen Kloster Bad Schussenried Y1 - 2001 PB - Konradin-Verl. Kohlhammer CY - Leinfelden-Echterdingen ER - TY - CHAP A1 - Schölzel, Mario A1 - Bachmann, Peter A1 - Vierhaus, Heinrich Theodor ED - Dabrowski, Adam T1 - Application Specific Processor Design for Digital Signal Processing T2 - Signal processing '2004, workshop proceedings, Poznan, 24th September 2004 Y1 - 2004 SN - 83-913251-5-6 SP - 7 EP - 15 PB - Poznan Univ. of Technology CY - Poznan ER - TY - CHAP A1 - Schölzel, Mario T1 - HW / SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths T2 - 2010 Design, Automation & Test in Europe Conference & Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010 Y1 - 2010 SN - 978-1-4244-7054-9 SP - 723 EP - 728 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Schölzel, Mario T1 - Software-Based Self-Repair of Statically Scheduled Superscalar Data Paths Y1 - 2010 ER - TY - CHAP A1 - Schölzel, Mario T1 - Möglichkeiten und Grenzen der Software-basierten Selbstreparatur in statisch geplanten superskalaren Prozessorarchitekturen Y1 - 2010 ER - TY - CHAP A1 - Schölzel, Mario T1 - Time-Constrained Clustering for DSE of Clustered VLIW-ASP T2 - 2007 Design, Automation & Test in Europe Conference & Exhibition, Nice, France, 16 - 20 April 2007 Y1 - 2007 SN - 978-3-9810801-2-4 SP - 467 EP - 472 PB - IEEE Service Center CY - Piscataway, NJ ER - TY - CHAP A1 - Pawlowski, P. A1 - Schölzel, Mario T1 - A Case-Study for Built-In-Self-Repair in Application Specific Processors By Decreasing the Arithmetic Accuracy Y1 - 2006 ER - TY - CHAP A1 - Schölzel, Mario T1 - Synthesis of Application Specific Processors - A Look at High-Level-approaches for Developing Application Specific Processors Y1 - 2006 ER - TY - CHAP A1 - Schölzel, Mario A1 - Bachmann, Peter T1 - Durchgängiger automatisierter Entwurf von der Prozessor-Architektur bis zur Anwendungs-Software T2 - Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), Ehrenkolloquium für Prof. Dr.-Ing. Günter Elst, 10. - 11. Mai 2006 Y1 - 2006 SP - 19 EP - 24 PB - Fraunhofer-Institut CY - Dresden ER - TY - CHAP A1 - Heinig, Andy A1 - Schölzel, Mario T1 - Zeitbeschränkte Clusterung zur Design-Space-Exploration geclusterter VLIW-Prozessoren T2 - Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 9. ITG/GI/GMM Workshop, 20. - 22. Februar 2006 in Dresden Y1 - 2006 SN - 3-9810287-1-6 SP - 319 EP - 328 PB - Fraunhofer-Institut für Integrierte Schaltungen, Außenstelle Entwurfsautomatisierung CY - Dresden ER - TY - CHAP A1 - Scholz, Sebastian A1 - Schölzel, Mario T1 - Design-Space-Exploration Using Simulated Annealing Y1 - 2005 ER - TY - CHAP A1 - Schölzel, Mario A1 - Bachmann, Peter T1 - DESCOMP: A New Design Space Exploration Approach T2 - Systems aspects in organic and pervasive computing - ARCS 2005, 18th International Conference on Architecture of Computing Systems, Innsbruck, Austria, March 14 - 17, 2005, proceedings Y1 - 2005 SN - 3-540-25273-8 SP - 178 EP - 192 PB - Springer CY - Berlin [u.a.] ER - TY - CHAP A1 - Ulbricht, Markus A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - A New Hierarchical Built-In Test with On-Chip Diagnosis for VLIW Processors T2 - IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011 N2 - Tagungsbeitrag DDECS 2011, Cottbus KW - Prozessoren KW - Test KW - Zuverlässigkeit Y1 - 2011 UR - www.computer.org SN - 978-1-4244-9755-3 N1 - IEEE Computer Society SP - 143 EP - 146 ER - TY - CHAP A1 - Ulbricht, Markus A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - A New Hiararchical Built-In SElf Test with On-Chip Diagnosis for VLIW Processors N2 - Tagungsbeitrag 23. ITG-Tagung "Test und Zuverlässigeit von Schaltungen und Systemen", Passau, 2011 KW - Prozessoren KW - Test KW - Zuverlässigkeit Y1 - 2011 N1 - BTU ER - TY - CHAP A1 - Schölzel, Mario T1 - Reduced Triple Modular Redundancy for Built-in Self Repair in VLIW Processors N2 - Tagungsbeitrag IEEE SPA 2007, Poznan KW - Prozessoren KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2007 N1 - BTU ER - TY - CHAP A1 - Schölzel, Mario T1 - Scaling the Discrete Cosine Transformation for Fault-Torelant Real-Time Execution T2 - Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings Y1 - 2009 SN - 978-83-62065-00-4 N1 - BTU SP - 19 EP - 24 PB - Univ. of Technology, Fac. of Computing Science and Management CY - Poznan ER - TY - CHAP A1 - Koal, Tobias A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Dependability and Life Time Enhancement for Nano-Electronic Systems T2 - Signal processing, SPA 2011, Poznan, 29 - 30th September 2011, conference proceedings KW - ICs KW - Mikroelektronik KW - Zuverlässigkeit Y1 - 2011 SN - 978-83-62065-02-8 N1 - BTU SP - 61 EP - 67 PB - Univ. of Technology, Fac. of Computing, Chair of Control and System Engineering CY - Poznan ER - TY - CHAP A1 - Koal, Tobias A1 - Scheit, Daniel A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - On the Feasibility of Built-in Self Repair for Logic Circuits T2 - 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011 KW - ICs KW - Test KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2011 SN - 978-1-4577-1713-0 N1 - IEEE Computer Society SP - 316 EP - 324 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Schölzel, Mario T1 - Fine-Grained Software-Based Self Repair of VLIW Processors T2 - 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011 KW - Prozessoren KW - Test KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2011 SN - 978-1-4577-1713-0 N1 - IEEE Computer Society SP - 41 EP - 49 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Müller, Sebastian A1 - Schölzel, Mario T1 - Combining Hardware and Software Based Self Repair Methods for Statically Scheduled Data Paths T2 - 2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 2010), Kyoto, Japan, 6 - 8 October 2010 KW - Prozessoren KW - Zuverlässigkeit KW - Selbstreparatur Y1 - 2010 SN - 978-1-424-48447-8 N1 - IEEE Computer Society SP - 90 EP - 98 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Schölzel, Mario T1 - Ein hybrides Selbsttest- und Reparaturkonzept für VLIW-Prozessoren N2 - Tagungsbeitrag 20. ITG-GI-GMM-Workshop "Zuverlässiglkeit und Entwurf", Wien, Februar 2008 KW - Prozessoren KW - Test KW - Zuverlässigkeit Y1 - 2008 N1 - BTU ER - TY - CHAP A1 - Schölzel, Mario T1 - A Delay Estimation of Rescheduling Schemes for Statically Scheduled Processors T2 - Workshop proceedings, ARCS 2009, 22th International Conference on Architecture of Computing Systems, March 11, 2009, Delft, The Netherlands KW - Prozessoren KW - Fehlertoleranz Y1 - 2009 SN - 978-3-8007-3133-6 N1 - VDI / VDE SP - 117 EP - 124 PB - VDE-Verlag CY - Berlin [u.a.] ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Systematic Generation of Diagnostic Software-Based Self-Test Routines for Processor Components T2 - 19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn Y1 - 2014 SN - 978-1-4799-3415-7 PB - IEEE ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Scharoba, Stefan A1 - Vierhaus, Heinrich Theodor T1 - A Multi-Layer Software Based Fault-Tolerance Approach for Heterogenous Multi-Core Systems T2 - 16th Latin-American Test Symposium (LATS 2015), Puerto Vallarta, Mexico, 25-27 March 2015 Y1 - 2015 SN - 978-1-4673-6710-3 SP - 1 EP - 6 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Weidling, Stefan A1 - Todtenberg, Nicole A1 - Basmer, Thomas A1 - Schölzel, Mario A1 - Maaser, Michael A1 - Taubert, Matthias T1 - Outdoor Range Measurements in Sub-GHz License-free Radio Bands under Realistic Conditions T2 - MobiWac '17, Proceedings of the 15th ACM International Symposium on Mobility Management and Wireless Access, Miami, Florida, November 21 - 25, 2017, USA Y1 - 2017 SN - 978-1-4503-5163-8 U6 - https://doi.org/10.1145/3132062.3132080 SP - 71 EP - 74 PB - ACM, Digital Library CY - New York, NY ER - TY - GEN A1 - Urban, Roberto A1 - Vierhaus, Heinrich Theodor A1 - Schölzel, Mario A1 - Altmann, Enrico A1 - Seelig, Horst T1 - Non-Cyclic Design Space Exploration for ASIPS - Compiler-Centered Microprocessor Design (CoMet) T2 - Journal of Circuits, Systems and Computers Y1 - 2016 U6 - https://doi.org/10.1142/S0218126616400120 SN - 0218-1266 SN - 1793-6454 VL - 25 IS - 3 ER - TY - CHAP A1 - Skoncej, Patryk A1 - Mühlbauer, Felix A1 - Kubicek, Felix A1 - Schröder, Lukas A1 - Schölzel, Mario T1 - Feasibility of Software-based Repair for Program Memories T2 - 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS), 4-6 July 2016, Sant Feliu de Guixols, Spain, 2016 Y1 - 2016 SN - 978-1-5090-1507-8 SP - 199 EP - 202 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Schölzel, Mario A1 - Skoncej, Patryk A1 - Vater, Frank T1 - On the Feasibility of Handling Manufacturing Faults in Embedded Memories by Software Means T2 - IEEE International Workshop of Electronics, Control, Measurement, Signals and their Application to Mechatronics (ECMSM) Y1 - 2015 SN - 978-1-4799-6972-2 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Schölzel, Mario A1 - Skoncej, Patryk A1 - Vater, Frank T1 - Software-based Repair for Memories in Tiny Embedded Systems T2 - 20th IEEE European Test Symposium (ETS), 25-29 May, Cluj-Napoca, Romania 2015 Y1 - 2015 SN - 978-1-4799-7603-4 PB - IEEE CY - Piscataway, NJ ER - TY - GEN A1 - Lehniger, Kai A1 - Schölzel, Mario A1 - Jelonek, Jonas A1 - Tabatt, Peter A1 - Aftowicz, Marcin A1 - Langendörfer, Peter T1 - Combination of ROP Defense Mechanisms for Better Safety and Security in Embedded Systems T2 - 25th Euromicro Conference on Digital Systems Design (DSD 2022), Maspalomas, Spain, 31 August 2022 - 02 September 2022 Y1 - 2022 SN - 978-1-6654-7404-7 SN - 978-1-6654-7405-4 U6 - https://doi.org/10.1109/DSD57027.2022.00070 SN - 2771-2508 ER - TY - GEN A1 - Tabatt, Peter A1 - Jelonek, Jonas A1 - Schölzel, Mario A1 - Lehniger, Kai A1 - Langendörfer, Peter T1 - Code Mutation as a Mean Against ROP Attacks for Embedded Systems T2 - 11th Mediterranean Conference on Embedded Computing (MECO 2022), Budva, Montenegro, 07-10 June 2022 Y1 - 2022 SN - 978-1-6654-6828-2 SN - 978-1-6654-6827-5 U6 - https://doi.org/10.1109/MECO55406.2022.9797185 SN - 2637-9511 ER - TY - CHAP A1 - Skoncej, Patryk A1 - Mühlbauer, Felix A1 - Schölzel, Mario ED - Cunningham, Douglas W. ED - Hofstedt, Petra ED - Meer, Klaus ED - Schmitt, Ingo T1 - Softwarebasierte Selbstreparatur von Flash-Speichern für fehlertolerante mikrocontroller-basierte Systeme T2 - Informatik 2015, Tagung vom 28. September – 2. Oktober 2015 in Cottbus Y1 - 2015 SN - 978-3-88579-640-4 SP - 1461 EP - 1473 PB - Gesellschaft für Informatik e.V. CY - Bonn ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Müller, Sebastian A1 - Scharoba, Stefan A1 - Röder, Stefanie A1 - Vierhaus, Heinrich Theodor T1 - A Comprehensive Software-Based Self-Test and Self-Repair Method for Statically Scheduled Superscalar Processors T2 - 17th IEEE Latin-American Test Symposium - LATS 2016 Y1 - 2016 SN - 978-1-5090-1331-9 SP - 33 EP - 38 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Koal, Tobias A1 - Eggersglüß, S. A1 - Schölzel, Mario T1 - Erzeugung diagnostischer Testmuster unter komplexen Contraints T2 - Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 27. GI/GMM/ITG-Workshop, 1.-3. März 2015, Y1 - 2015 PB - Robert Bosch GmbH CY - Reutlingen ER - TY - RPRT A1 - Henkel, Karsten A1 - Paloumpa, Ioanna A1 - Oprea, A. A1 - Appel, Günter A1 - Schmeißer, Dieter A1 - Frandsen, W. A1 - Schölzel, Mario T1 - Entwicklung von Sensoren mit Elektroden aus leitfähigen Polymeren Y1 - 2000 N1 - Teilbericht 16SV335/7 im Gemeinsamen Abschlussbericht zum Verbundprojekt "Sensoren mit mikrostrukturierten Elektroden aus leitfähigen Polymeren", März 2000, Kurzfassung ER - TY - CHAP A1 - Müller, Sebastian A1 - Koal, Tobias A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Timing for Virtual TMR in Logic Circuits T2 - IOLTS 2014, 20th IEEE International On-Line Testing Symposium Hotel Cap Roig, Platja d'Aro, Catalunya, Spain July 7-9, 2014 Y1 - 2014 SN - 978-1-4799-5324-0 ER - TY - CHAP A1 - Koal, Tobias A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Combining Fault Tolerance and Self Repair at Minimum Cost in Power and Hardware T2 - IEEE International Symposium DDECS 2014, Warschau Y1 - 2014 SN - 978-1-4799-4560-3 SP - 153 EP - 159 PB - IEEE CS Press ER - TY - CHAP A1 - Urban, Roberto A1 - Lehniger, Kai A1 - Heyne, Maximilian A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Vergleich der Beschreibung und Simulation einer Befehlssatzarchitektur in LISA und CoMet T2 - MBMV 2014, Böblingen, Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen Y1 - 2014 SN - 978-3-95404-637-9 SP - 101 EP - 112 PB - Cuvillier Verlag CY - Göttingen ER - TY - CHAP A1 - Schölzel, Mario A1 - Pawlowski, Pawel A1 - Dabrowski, Adam T1 - Self Repair by Program Reconfiguration in VLIW Processor Architectures T2 - Design and test technology for dependable systems-on-chip Y1 - 2011 SN - 978-1-6096-0212-3 SP - 241 EP - 266 PB - Information Science Reference CY - Hershay, Pa. [u.a.] ER - TY - BOOK A1 - Vierhaus, Heinrich Theodor A1 - Steininger, Andreas A1 - Pawlak, A. A1 - Kraemer, Rolf A1 - Schölzel, Mario A1 - Raik, Jaan T1 - Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems Y1 - 2011 SN - 978-1-4244-9753-9 PB - Piscataway : IEEE, 2011 ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Reconfigurable High Performance Architectures T2 - 19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn Y1 - 2014 SN - 978-1-4799-3415-7 PB - IEEE ER - TY - CHAP A1 - Urban, Roberto A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Entwicklungsumgebung für den compilerzentrierten Mikroprozessorentwurf (CoMet) T2 - DASS 2014, Dresdner Arbeitstagung Schaltungs- und Systementwurf, Tagungsband Y1 - 2014 SN - 978-3-8396-0738-1 PB - Fraunhofer Verlag CY - Stuttgart ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Diagnostics self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults T2 - International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2014), Amsterdam, Netherlands, 1 - 3 October 2014 Y1 - 2014 SN - 978-1-4799-6155-9 SN - 978-1-4799-6156-6 SP - 27 EP - 32 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Urban, Roberto A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor A1 - Altmann, Enrico A1 - Seelig, Horst T1 - Compiler-Centred Microprocessors Design (CoMet) - From C-Code to a VHDL Model of an ASIP T2 - 18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015, 22-24 April 2015, Belgrade, Serbia, proceedings Y1 - 2015 SN - 978-1-4799-6779-7 SN - 978-1-4799-6780-3 SP - 17 EP - 22 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Scharoba, Stefan A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - On reliability Estimation for Combined Transient and Permanent Fault Handling T2 - 14th Biennial Baltic Electronic Conference (BEC), Tallinn, Estonia, 6-8 October 2014 Y1 - 2014 SN - 978-1-4673-9539-7 SN - 978-1-4673-9540-3 SP - 73 EP - 76 PB - IEEE CY - Piscataway, NJ ER - TY - CHAP A1 - Müller, Sebastian A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Towards a Graceful Degradable Multicore-System by hierarchical Handling of Hard Errors T2 - DaRMuS 2013, Special Session on Dynamic and Reliable Multicore Systems, Hosted by 21st Euromicro PDP Conference Y1 - 2013 SN - 978-146-735-321-2 SP - 302 EP - 309 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Urban, Roberto A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor ED - Dietrich, Manfred T1 - Compilerzentrierter Mikroprozessor T2 - Tagungsband Dredner Arbeitstagung für Schaltungs- und Systementwurf (DASS 2013) Y1 - 2013 SN - 978-3-8396-0545-5 PB - Fraunhofer-Verl. CY - Stuttgart ER - TY - CHAP A1 - Urban, Roberto A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Ein konfigurierbarer Zwischencodesimulator zum compilerzentrierten Mikroprozessorentwurf T2 - Tagungsband Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2013) Y1 - 2013 PB - Univ., ITMZ CY - Rostock ER - TY - CHAP A1 - Müller, Sebastian A1 - Schölzel, Mario A1 - Vierhaus, Heinrich Theodor T1 - Hierarchical Self-repair in Heterogenous Multi-core Systems by Means of a Software-based Reconfiguration T2 - VEFRE 8th Workshop on Dependability and Fault-Tolerance, Proceedings of ARCS 2012 Workshops Y1 - 2012 SN - 978-1-4673-1913-3 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Röder, Stephanie A1 - Vierhaus, Heinrich Theodor T1 - Towards an Automatic Generation of Diagnostic In-Field SBST for Processor Components T2 - Proceedings, 14th IEEE Latin American Test Workshop (LATW 2013) Y1 - 2013 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor ED - Raik, Jaan T1 - An Adaptive Self-Test Routine for In-Field Diagnosis of Permanent Faults in Simple RISC Cores T2 - Proceedings, 15th IEEE Interantional Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Tallinn, April 2012 Y1 - 2012 SN - 978-1-4673-1185-4 SP - 312 EP - 317 PB - IEEE CY - Piscataway ER - TY - CHAP A1 - Schölzel, Mario A1 - Koal, Tobias A1 - Vierhaus, Heinrich Theodor T1 - Adaptiver softwarebasierter Selbsttest einfacher RISC-Prozessoren zur Lokalisierung von permanenten Fehlern im Feld T2 - Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), Dresden, Mai 2012, Tagungsband Y1 - 2012 SN - 978-3-8396-0404-5 SP - 150 EP - 155 PB - Fraunhofer IIS, Institutsteil EAS [u.a.] CY - Dresden ER - TY - GEN A1 - Lehniger, Kai A1 - Aftowicz, Marcin A1 - Schölzel, Mario A1 - Langendörfer, Peter T1 - Coarse-Grained Control Flow Integrity Check for Processors with Sliding Register Windows T2 - 12th Mediterranean Conference on Embedded Computing (MECO) Y1 - 2023 SN - 979-8-3503-2291-0 SN - 979-8-3503-2290-3 U6 - https://doi.org/10.1109/MECO58584.2023.10154928 SN - 2637-9511 ER - TY - GEN A1 - Lehniger, Kai A1 - Schölzel, Mario A1 - Tabatt, Peter A1 - Aftowicz, Marcin A1 - Langendörfer, Peter T1 - Simplified Control Flow Integrity Method for Permutated Programs T2 - Proc. 32. Crypto-Day Matters 2021, (2021) Y1 - 2021 U6 - https://doi.org/10.18420/cdm-2021-32-21 ER - TY - THES A1 - Schölzel, Mario T1 - Automatisierter Entwurf anwendungsspezifischer VLIW-Prozessoren KW - Entwurfsautomation KW - Hardwareentwurf KW - VLIW-Architektur KW - Design-Space-Exploration KW - VLIW KW - Prozessorentwurf Y1 - 2006 U6 - http://nbn-resolving.de/urn/resolver.pl?urn:nbn:de:kobv:co1-opus-397 UR - http://opus.kobv.de/btu/volltexte/2006/39/ ER -