TY - GEN A1 - Perez-Avila, Antonio Javier A1 - Gonzalez-Cordero, Gerardo A1 - Perez, Eduardo A1 - Perez-Bosch Quesada, Emilio A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Wenger, Christian A1 - Roldan, Juan Bautista A1 - Jimenez-Molinos, Francisco T1 - Behavioral modeling of multilevel HfO2-based memristors for neuromorphic circuit simulation T2 - XXXV Conference on Design of Circuits and Integrated Systems (DCIS), Segovia, Spain N2 - An artificial neural network based on resistive switching memristors is implemented and simulated in LTspice. The influence of memristor variability and the reduction of the continuous range of synaptic weights into a discrete set of conductance levels is analyzed. To do so, a behavioral model is proposed for multilevel resistive switching memristors based on Al-doped HfO2 dielectrics, and it is implemented in a spice based circuit simulator. The model provides an accurate description of the conductance in the different conductive states in addition to describe the device-to-device variability KW - RRAM KW - Multilevel switching KW - behavorial model Y1 - 2020 U6 - https://doi.org/10.1109/DCIS51330.2020.9268652 ER - TY - GEN A1 - Perez, Eduardo A1 - Pérez-Ávila, Antonio Javier A1 - Romero-Zaliz, Rocío A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Pérez-Bosch Quesada, Emilio A1 - Roldan, Juan Bautista A1 - Jiménez-Molinos, Francisco A1 - Wenger, Christian T1 - Optimization of Multi-Level Operation in RRAM Arrays for In-Memory Computing T2 - Electronics (MDPI) N2 - Accomplishing multi-level programming in resistive random access memory (RRAM) arrays with truly discrete and linearly spaced conductive levels is crucial in order to implement synaptic weights in hardware-based neuromorphic systems. In this paper, we implemented this feature on 4-kbit 1T1R RRAM arrays by tuning the programming parameters of the multi-level incremental step pulse with verify algorithm (M-ISPVA). The optimized set of parameters was assessed by comparing its results with a non-optimized one. The optimized set of parameters proved to be an effective way to define non-overlapped conductive levels due to the strong reduction of the device-to-device variability as well as of the cycle-to-cycle variability, assessed by inter-levels switching tests and during 1k reset-set cycles. In order to evaluate this improvement in real scenarios, the experimental characteristics of the RRAM devices were captured by means of a behavioral model, which was used to simulate two different neuromorphic systems: an 8×8 vector-matrixmultiplication (VMM) accelerator and a 4-layer feedforward neural network for MNIST database recognition. The results clearly showed that the optimization of the programming parameters improved both the precision of VMM results as well as the recognition accuracy of the neural network in about 6% compared with the use of non-optimized parameters. KW - RRAM KW - Multilevel switching KW - In-memory computing Y1 - 2021 U6 - https://doi.org/10.3390/electronics10091084 SN - 2079-9292 VL - 10 IS - 9 ER - TY - GEN A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Perez-Bosch Quesada, Emilio A1 - Wenger, Christian T1 - Variability and Energy Consumption Tradeoffs in Multilevel Programming of RRAM Arrays T2 - IEEE Transactions on Electron Devices N2 - Achieving a reliable multi-level programming operation in resistive random access memory (RRAM) arrays is still a challenging task. In this work, we assessed the impact of the voltage step value used by the programming algorithm on the device-to-device (DTD) variability of the current distributions of four conductive levels and on the energy consumption featured by programming 4-kbit HfO2-based RRAM arrays. Two different write-verify algorithms were considered and compared, namely, the incremental gate voltage with verify algorithm (IGVVA) and the incremental step pulse with verify algorithm (ISPVA). By using the IGVVA, a main trade-off has to be taken into account since reducing the voltage step leads to a smaller DTD variability at the cost of a strong increase in the energy consumption. Although the ISPVA can not reduce the DTD variability as much as the IGVVA, its voltage step can be decreased in order to reduce the energy consumption with almost no impact on the DTD variability. Therefore, the final decision on which algorithm to employ should be based on the specific application targeted for the RRAM array. KW - RRAM KW - Multilevel switching KW - HfO2 Y1 - 2021 U6 - https://doi.org/10.1109/TED.2021.3072868 SN - 0018-9383 SN - 1557-9646 VL - 68 IS - 6 SP - 2693 EP - 2698 ER - TY - GEN A1 - Perez-Bosch Quesada, Emilio A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Wenger, Christian T1 - Memristive-based in-memory computing: from device to large-scale CMOS integration T2 - Neuromorphic Computing and Engineering N2 - With the rapid emergence of in-memory computing systems based on memristive technology, the integration of such memory devices in large-scale architectures is one of the main aspects to tackle. In this work we present a study of HfO2-based memristive devices for their integration in large-scale CMOS systems, namely 200 mm wafers. The DC characteristics of single metal–insulator–metal devices are analyzed taking under consideration device-to-device variabilities and switching properties. Furthermore, the distribution of the leakage current levels in the pristine state of the samples are analyzed and correlated to the amount of formingless memristors found among the measured devices. Finally, the obtained results are fitted into a physic-based compact model that enables their integration into larger-scale simulation environments. KW - RRAM KW - memristive device KW - HfO2 Y1 - 2021 U6 - https://doi.org/10.1088/2634-4386/ac2cd4 SN - 2634-4386 VL - 1 IS - 2 ER - TY - GEN A1 - Bischoff, Carl A1 - Leise, Jakob A1 - Perez-Bosch Quesada, Emilio A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Kloes, Alexander T1 - Implementation of device-to-device and cycle-to-cycle variability of memristive devices in circuit simulations T2 - Solid-State Electronics N2 - We present a statistical procedure for the extraction of parameters of a compact model for memristive devices. Thereby, in a circuit simulation the typical fluctuations of the current–voltage (I-V) characteristics from device-to-device (D2D) and from cycle-to-cycle (C2C) can be emulated. The approach is based on the Stanford model whose parameters play a key role to integrating D2D and C2C dispersion. The influence of such variabilities over the model’s parameters is investigated by using a fitting algorithm fed with experimental data. After this, the statistical distributions of the parameters are used in a Monte Carlo simulation to reproduce the I-V D2D and C2C dispersions which show a good agreement to the measured curves. The results allow the simulation of the on/off current variation for the design of RRAM cells or memristor-based artificial neural networks. KW - RRAM KW - circuit simulation KW - HfO2 Y1 - 2022 U6 - https://doi.org/10.1016/j.sse.2022.108321 SN - 0038-1101 VL - 194 ER - TY - GEN A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Perez, Eduardo A1 - Lisker, Marco A1 - Schubert, Markus Andreas A1 - Perez-Bosch Quesada, Emilio A1 - Wenger, Christian A1 - Mai, Andreas T1 - Modulating the Filamentary-Based Resistive Switching Properties of HfO2 Memristive Devices by Adding Al2O3 Layers T2 - Electronics : open access journal N2 - The resistive switching properties of HfO2 based 1T-1R memristive devices are electrically modified by adding ultra-thin layers of Al2O3 into the memristive device. Three different types of memristive stacks are fabricated in the 130 nm CMOS technology of IHP. The switching properties of the memristive devices are discussed with respect to forming voltages, low resistance state and high resistance state characteristics and their variabilities. The experimental I–V characteristics of set and reset operations are evaluated by using the quantum point contact model. The properties of the conduction filament in the on and off states of the memristive devices are discussed with respect to the model parameters obtained from the QPC fit. KW - RRAM KW - HfO2 KW - filamentary switching Y1 - 2022 U6 - https://doi.org/10.3390/electronics11101540 SN - 2079-9292 VL - 11 IS - 10 ER - TY - GEN A1 - Dersch, Nadine A1 - Perez-Bosch Quesada, Emilio A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Roemer, Christian A1 - Schwarz, Mike A1 - Kloes, Alexander T1 - Efficient circuit simulation of a memristive crossbar array with synaptic weight variability T2 - Solid State Electronics N2 - In this paper, we present a method for highly-efficient circuit simulation of a hardware-based artificial neural network realized in a memristive crossbar array. The statistical variability of the devices is considered by a noise-based simulation technique. For the simulation of a crossbar array with 8 synaptic weights in Cadence Virtuoso the new approach shows a more than 200x speed improvement compared to a Monte Carlo approach, yielding the same results. In addition, first results of an ANN with more than 15,000 memristive devices classifying test data of the MNIST dataset are shown, for which the speed improvement is expected to be several orders of magnitude. Furthermore, the influence on the classification of parasitic resistances of the connection lines in the crossbar is shown. KW - RRAM KW - Neural network Y1 - 2023 U6 - https://doi.org/10.1016/j.sse.2023.108760 SN - 0038-1101 VL - 209 ER - TY - GEN A1 - Perez, Eduardo A1 - Maldonado, David A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Perez-Bosch Quesada, Emilio A1 - Cantudo, Antonio A1 - Jimenez-Molinos, Francisco A1 - Wenger, Christian A1 - Roldan, Juan Bautista T1 - A comparison of resistive switching parameters for memristive devices with HfO2 monolayers and Al2O3/HfO2 bilayers at the wafer scale T2 - 14th Spanish Conference on Electron Devices (CDE 2023), Valencia, Spain, 06-08 June 2023 N2 - Memristive devices integrated in 200 mm wafers manufactured in 130 nm CMOS technology with two different dielectrics, namely, a HfO2 monolayer and an Al2O3/HfO2 bilayer, have been measured. The cycle-to-cycle (C2C) and device-todevice (D2D) variability have been analyzed at the wafer scale using different numerical methods to extract the set (Vset) and reset (Vreset) voltages. Some interesting differences between both technologies were found in terms of switching characteristics KW - RRAM Y1 - 2023 SN - 979-8-3503-0240-0 U6 - https://doi.org/10.1109/CDE58627.2023.10339417 PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Maldonado, David A1 - Cantudo, Antonio A1 - Perez, Eduardo A1 - Romero-Zaliz, Rocio A1 - Perez-Bosch Quesada, Emilio A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Jimenez-Molinos, Francisco A1 - Wenger, Christian A1 - Roldan, Juan Bautista T1 - TiN/Ti/HfO2/TiN Memristive Devices for Neuromorphic Computing: From Synaptic Plasticity to Stochastic Resonance T2 - Frontiers in Neuroscience N2 - We characterize TiN/Ti/HfO2/TiN memristive devices for neuromorphic computing. We analyze different features that allow the devices to mimic biological synapses and present the models to reproduce analytically some of the data measured. In particular, we have measured the spike timing dependent plasticity behavior in our devices and later on we have modeled it. The spike timing dependent plasticity model was implemented as the learning rule of a spiking neural network that was trained to recognize the MNIST dataset. Variability is implemented and its influence on the network recognition accuracy is considered accounting for the number of neurons in the network and the number of training epochs. Finally, stochastic resonance is studied as another synaptic feature.It is shown that this effect is important and greatly depends on the noise statistical characteristics. KW - RRAM KW - Neural network Y1 - 2023 U6 - https://doi.org/10.3389/fnins.2023.1271956 SN - 1662-4548 VL - 17 ER - TY - GEN A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Perez-Bosch Quesada, Emilio A1 - Wenger, Christian T1 - In-depth characterization of switching dynamics in amorphous HfO2 memristive arrays for the implementation of synaptic updating rules T2 - Japanese Journal of Applied Physics N2 - Accomplishing truly analog conductance modulation in memristive arrays is crucial in order to implement the synaptic plasticity in hardware-based neuromorphic systems. In this paper, such a feature was addressed by exploiting the inherent stochasticity of switching dynamics in amorphous HfO2 technology. A thorough statistical analysis of experimental characteristics measured in 4 kbit arrays by using trains of identical depression/potentiation pulses with different voltage amplitudes and pulse widths provided the key to develop two different updating rules and to define their optimal programming parameters. The first rule is based on applying a specific number of identical pulses until the conductance value achieves the desired level. The second one utilized only one single pulse with a particular amplitude to achieve the targeted conductance level. In addition, all the results provided by the statistical analysis performed may play an important role in understanding better the switching behavior of this particular technology. KW - RRAM KW - memristive device KW - HfO2 KW - memristive switching Y1 - 2022 U6 - https://doi.org/10.35848/1347-4065/ac6a3b SN - 0021-4922 VL - 61 SP - 1 EP - 7 ER - TY - GEN A1 - Kloes, Alexander A1 - Bischoff, Carl A1 - Leise, Jakob A1 - Perez-Bosch Quesada, Emilio A1 - Wenger, Christian A1 - Perez, Eduardo T1 - Stochastic switching of memristors and consideration in circuit simulation T2 - Solid State Electronics N2 - We explore the stochastic switching of oxide-based memristive devices by using the Stanford model for circuit simulation. From measurements, the device-to-device (D2D) and cycle-to-cycle (C2C) statistical variation is extracted. In the low-resistive state (LRS) dispersion by D2D variability is dominant. In the high-resistive state (HRS) C2C dispersion becomes the main source of fluctuation. A statistical procedure for the extraction of parameters of the compact model is presented. Thereby, in a circuit simulation the typical D2D and C2C fluctuations of the current–voltage (I-V) characteristics can be emulated by extracting statistical parameters of key model parameters. The statistical distributions of the parameters are used in a Monte Carlo simulation to reproduce the I-V D2D and C2C dispersions which show a good agreement to the measured curves. The results allow the simulation of the on/off current variation for the design of memory cells or can be used to emulate the synaptic behavior of these devices in artificial neural networks realized by a crossbar array of memristors. KW - RRAM KW - memristive device KW - variability Y1 - 2023 U6 - https://doi.org/10.1016/j.sse.2023.108606 SN - 0038-1101 VL - 201 ER - TY - GEN A1 - Pérez-Bosch Quesada, Emilio A1 - Romero-Zaliz, Rocío A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Reuben, John A1 - Schubert, Markus Andreas A1 - Jiménez-Molinos, Francisco A1 - Roldán, Juan Bautista A1 - Wenger, Christian T1 - Toward Reliable Compact Modeling of Multilevel 1T-1R RRAM Devices for Neuromorphic Systems T2 - Electronics (MDPI) N2 - In this work, three different RRAM compact models implemented in Verilog-A are analyzed and evaluated in order to reproduce the multilevel approach based on the switching capability of experimental devices. These models are integrated in 1T-1R cells to control their analog behavior by means of the compliance current imposed by the NMOS select transistor. Four different resistance levels are simulated and assessed with experimental verification to account for their multilevel capability. Further, an Artificial Neural Network study is carried out to evaluate in a real scenario the viability of the multilevel approach under study. KW - RRAM KW - multilevel switching KW - compact modeling KW - Verilog-A Y1 - 2021 U6 - https://doi.org/10.3390/electronics10060645 SN - 2079-9292 VL - 10 IS - 6 ER - TY - GEN A1 - Perez-Bosch Quesada, Emilio A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Rizzi, Tommaso A1 - Wen, Jianan A1 - Ulbricht, Markus A1 - Krstic, Milos A1 - Wenger, Christian A1 - Perez, Eduardo T1 - Experimental Assessment of Multilevel RRAM-based Vector-Matrix Multiplication Operations for In-Memory Computing T2 - IEEE Transactions on Electron Devices N2 - Resistive random access memory (RRAM)-based hardware accelerators are playing an important role in the implementation of in-memory computing (IMC) systems for artificial intelligence applications. The latter heavily rely on vector-matrix multiplication (VMM) operations that can be efficiently boosted by RRAM devices. However, the stochastic nature of the RRAM technology is still challenging real hardware implementations. To study the accuracy degradation of consecutive VMM operations, in this work we programed two RRAM subarrays composed of 8x8 one-transistor-one-resistor (1T1R) cells following two different distributions of conductive levels. We analyze their robustness against 1000 identical consecutive VMM operations and monitor the inherent devices’ nonidealities along the test. We finally quantize the accuracy loss of the operations in the digital domain and consider the trade-offs between linearly distributing the resistive states of the RRAM cells and their robustness against nonidealities for future implementation of IMC hardware systems. KW - RRAM KW - Vector Matrix Multiplication KW - variability Y1 - 2023 U6 - https://doi.org/10.1109/TED.2023.3244509 SN - 0018-9383 VL - 70 IS - 4 SP - 2009 EP - 2014 ER - TY - GEN A1 - Perez, Eduardo A1 - Maldonado, David A1 - Perez-Bosch Quesada, Emilio A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Jimenez-Molinos, Francisco A1 - Wenger, Christian T1 - Parameter Extraction Methods for Assessing Device-to-Device and Cycle-to-Cycle Variability of Memristive Devices at Wafer Scale T2 - IEEE Transactions on Electron Devices N2 - The stochastic nature of the resistive switching (RS) process in memristive devices makes device-to-device (DTD) and cycle-to-cycle (CTC) variabilities relevant magnitudes to be quantified and modeled. To accomplish this aim, robust and reliable parameter extraction methods must be employed. In this work, four different extraction methods were used at the production level (over all the 108 devices integrated on 200-mm wafers manufactured in the IHP 130-nm CMOS technology) in order to obtain the corresponding collection of forming, reset, and set switching voltages. The statistical analysis of the experimental data (mean and standard deviation (SD) values) was plotted by using heat maps, which provide a good summary of the whole data at a glance and, in addition, an easy manner to detect inhomogeneities in the fabrication process. KW - RRAM KW - memristive device KW - cycle-to-cycle variability KW - device-to-device variability Y1 - 2023 U6 - https://doi.org/10.1109/TED.2022.3224886 SN - 0018-9383 VL - 70 IS - 1 SP - 360 EP - 365 ER - TY - GEN A1 - Perez-Bosch Quesada, Emilio A1 - Rizzi, Tommaso A1 - Gupta, Aditya A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Schubert, Andreas A1 - Pechmann, Stefan A1 - Jia, Ruolan A1 - Uhlmann, Max A1 - Hagelauer, Amelie A1 - Wenger, Christian A1 - Perez, Eduardo T1 - Multi-Level Programming on Radiation-Hard 1T1R Memristive Devices for In-Memory Computing T2 - 14th Spanish Conference on Electron Devices (CDE 2023), Valencia, Spain, 06-08 June 2023 N2 - This work presents a quasi-static electrical characterization of 1-transistor-1-resistor memristive structures designed following hardness-by-design techniques integrated in the CMOS fabrication process to assure multi-level capabilities in harsh radiation environments. Modulating the gate voltage of the enclosed layout transistor connected in series with the memristive device, it was possible to achieve excellent switching capabilities from a single high resistance state to a total of eight different low resistance states (more than 3 bits). Thus, the fabricated devices are suitable for their integration in larger in-memory computing systems and in multi-level memory applications. Index Terms—radiation-hard, hardness-by-design, memristive devices, Enclosed Layout Transistor, in-memory computing KW - RRAM Y1 - 2023 SN - 979-8-3503-0240-0 U6 - https://doi.org/10.1109/CDE58627.2023.10339525 PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Bogun, Nicolas A1 - Perez-Bosch Quesada, Emilio A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Kloes, Alexander A1 - Schwarz, Mike T1 - Analytical Calculation of Inference in Memristor-based Stochastic Artificial Neural Networks T2 - 29th International Conference on Mixed Design of Integrated Circuits and System (MIXDES), 23-24 June 2022 , Wrocław, Poland N2 - The impact of artificial intelligence on human life has increased significantly in recent years. However, as the complexity of problems rose aswell, increasing system features for such amount of data computation became troublesome due to the von Neumann’s computer architecture. Neuromorphic computing aims to solve this problem by mimicking the parallel computation of a human brain. For this approach, memristive devices are used to emulate the synapses of a human brain. Yet, common simulations of hardware based networks require time consuming Monte-Carlo simulations to take into account the stochastic switching of memristive devices. This work presents an alternative concept making use of the convolution of the probability distribution functions (PDF) of memristor currents by its equivalent multiplication in Fourier domain. An artificial neural network is accordingly implemented to perform the inference stage with handwritten digits. KW - RRAM KW - neural network Y1 - 2022 SN - 978-83-63578-22-0 SN - 978-83-63578-21-3 SN - 978-1-6654-6176-4 U6 - https://doi.org/10.23919/MIXDES55591.2022.9838321 SP - 83 EP - 88 ER - TY - GEN A1 - Pechmann, Stefan A1 - Mai, Timo A1 - Völkel, Matthias A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Perez, Eduardo A1 - Perez-Bosch Quesada, Emilio A1 - Reichenbach, Marc A1 - Wenger, Christian A1 - Hagelauer, Amelie T1 - A Versatile, Voltage-Pulse Based Read and Programming Circuit for Multi-Level RRAM Cells T2 - Electronics N2 - In this work, we present an integrated read and programming circuit for Resistive Random Access Memory (RRAM) cells. Since there are a lot of different RRAM technologies in research and the process variations of this new memory technology often spread over a wide range of electrical properties, the proposed circuit focuses on versatility in order to be adaptable to different cell properties. The circuit is suitable for both read and programming operations based on voltage pulses of flexible length and height. The implemented read method is based on evaluating the voltage drop over a measurement resistor and can distinguish up to eight different states, which are coded in binary, thereby realizing a digitization of the analog memory value. The circuit was fabricated in the 130 nm CMOS process line of IHP. The simulations were done using a physics-based, multi-level RRAM model. The measurement results prove the functionality of the read circuit and the programming system and demonstrate that the read system can distinguish up to eight different states with an overall resistance ratio of 7.9. KW - RRAM KW - Multilevel switching KW - Programming circuit Y1 - 2021 U6 - https://doi.org/10.3390/electronics10050530 SN - 2079-9292 VL - 10 IS - 5 ER - TY - GEN A1 - Uhlmann, Max A1 - Pérez-Bosch Quesada, Emilio A1 - Fritscher, Markus A1 - Pérez, Eduardo A1 - Schubert, Markus Andreas A1 - Reichenbach, Marc A1 - Ostrovskyy, Philip A1 - Wenger, Christian A1 - Kahmen, Gerhard T1 - One-Transistor-Multiple-RRAM Cells for Energy-Efficient In-Memory Computing T2 - 21st IEEE Interregional NEWCAS Conference (NEWCAS) N2 - The use of resistive random-access memory (RRAM) for in-memory computing (IMC) architectures has significantly improved the energy-efficiency of artificial neural networks (ANN) over the past years. Current RRAM-technologies are physically limited to a defined unambiguously distinguishable number of stable states and a maximum resistive value and are compatible with present complementary metal-oxide semiconductor (CMOS)-technologies. In this work, we improved the accuracy of current ANN models by using increased weight resolutions of memristive devices, combining two or more in-series RRAM cells, integrated in the back end of line (BEOL) of the CMOS process. Based on system level simulations, 1T2R devices were fabricated in IHP's 130nm SiGe:BiCMOS technology node, demonstrating an increased number of states. We achieved an increase in weight resolution from 3 bit in ITIR cells to 6.5 bit in our 1T2R cell. The experimental data of 1T2R devices gives indications for the performance and energy-efficiency improvement in ITNR arrays for ANN applications. KW - RRAM KW - In-Memory Computing Y1 - 2023 SN - 979-8-3503-0024-6 SN - 979-8-3503-0025-3 U6 - https://doi.org/10.1109/NEWCAS57931.2023.10198073 SN - 2474-9672 SN - 2472-467X PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Romero-Zaliz, Rocío A1 - Perez, Eduardo A1 - Jimenez-Molinos, Francisco A1 - Wenger, Christian A1 - Roldan, Juan Bautista T1 - Influence of variability on the performance of HfO2 memristor-based convolutional neural networks T2 - Solid State Electronics N2 - A study of convolutional neural networks (CNNs) was performed to analyze the influence of quantization and variability in the network synaptic weights. Different CNNs were considered accounting for the number of convolutional layers, size of the filters in the convolutional layer, number of neurons in the final network layers and different sets of quantization levels. The conductance levels of fabricated 1T1R structures based on HfO2 memristors were considered as reference for four or eight level quantization processes at the inference stage of the CNNs, which were previous trained with the MNIST dataset. We also included the variability of the experimental conductance levels that was found to be Gaussian distributed and was correspondingly modeled for the synaptic weight implementation. KW - RRAM KW - neural network KW - HfO2 KW - memristive switching Y1 - 2021 U6 - https://doi.org/10.1016/j.sse.2021.108064 SN - 0038-1101 VL - 185 ER - TY - GEN A1 - Romero-Zaliz, Rocio A1 - Cantudo, Antonio A1 - Perez, Eduardo A1 - Jimenez-Molinos, Francisco A1 - Wenger, Christian A1 - Roldan, Juan Bautista T1 - An Analysis on the Architecture and the Size of Quantized Hardware Neural Networks Based on Memristors T2 - Electronics (MDPI) N2 - We have performed different simulation experiments in relation to hardware neural networks (NN) to analyze the role of the number of synapses for different NN architectures in the network accuracy, considering different datasets. A technology that stands upon 4-kbit 1T1R ReRAM arrays, where resistive switching devices based on HfO2 dielectrics are employed, is taken as a reference. In our study, fully dense (FdNN) and convolutional neural networks (CNN) were considered, where the NN size in terms of the number of synapses and of hidden layer neurons were varied. CNNs work better when the number of synapses to be used is limited. If quantized synaptic weights are included, we observed thatNNaccuracy decreases significantly as the number of synapses is reduced; in this respect, a trade-off between the number of synapses and the NN accuracy has to be achieved. Consequently, the CNN architecture must be carefully designed; in particular, it was noticed that different datasets need specific architectures according to their complexity to achieve good results. It was shown that due to the number of variables that can be changed in the optimization of a NN hardware implementation, a specific solution has to be worked in each case in terms of synaptic weight levels, NN architecture, etc. KW - RRAM KW - memristive device KW - neural network Y1 - 2021 U6 - https://doi.org/10.3390/electronics10243141 SN - 2079-9292 VL - 10 IS - 24 ER - TY - GEN A1 - Mannocci, Piergiulio A1 - Baroni, Andrea A1 - Melacarne, Enrico A1 - Zambelli, Cristian A1 - Olivo, Piero A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Ielmini, Daniele T1 - In-Memory Principal Component Analysis by Crosspoint Array of Rresistive Switching Memory T2 - IEEE Nanotechnology Magazine N2 - In Memory Computing (IMC) is one of the most promising candidates for data-intensive computing accelerators of machine learning (ML). A key ML algorithm for dimensionality reduction and classification is principal component analysis (PCA), which heavily relies on matrixvector multiplications (MVM) for which classic von Neumann architectures are not optimized. Here, we provide the experimental demonstration of a new IMCbased PCA algorithm based on power iteration and deflation executed in a 4-kbit array of resistive switching random-access memory (RRAM). The classification accuracy of the Wisconsin Breast Cancer data set reaches 95.43%, close to floatingpoint implementation. Our simulations indicate a 250× improvement in energy efficiency compared to commercial GPUs, thus supporting IMC for energy-efficient ML in modern data-intensive computing. KW - RRAM KW - Multilevel switching KW - neural network Y1 - 2022 U6 - https://doi.org/10.1109/MNANO.2022.3141515 SN - 1932-4510 VL - 16 IS - 2 SP - 4 EP - 13 ER - TY - GEN A1 - Wen, Jianan A1 - Baroni, Andrea A1 - Perez, Eduardo A1 - Ulbricht, Markus A1 - Wenger, Christian A1 - Krstic, Milos T1 - Evaluating Read Disturb Effect on RRAM based AI Accelerator with Multilevel States and Input Voltages T2 - 2022 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) N2 - RRAM technology is a promising candidate for implementing efficient AI accelerators with extensive multiply-accumulate operations. By scaling RRAM devices to the synaptic crossbar array, the computations can be realized in situ, avoiding frequent weights transfer between the processing units and memory. Besides, as the computations are conducted in the analog domain with high flexibility, applying multilevel input voltages to the RRAM devices with multilevel conductance states enhances the computational efficiency further. However, several non-idealities existing in emerging RRAM technology may degrade the reliability of the system. In this paper, we measured and investigated the impact of read disturb on RRAM devices with different input voltages, which incurs conductance drifts and introduces errors. The measured data are deployed to simulate the RRAM based AI inference engines with multilevel states. KW - RRAM KW - Multilevel switching KW - AI accelarator Y1 - 2022 SN - 978-1-6654-5938-9 SN - 978-1-6654-5937-2 U6 - https://doi.org/10.1109/DFT56152.2022.9962345 SN - 2765-933X SP - 1 EP - 6 ER - TY - GEN A1 - Pechmann, Stefan A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Hagelauer, Amelie T1 - A current mirror Based read circuit design with multi-level capability for resistive switching deviceb T2 - 2024 International Conference on Electronics, Information, and Communication (ICEIC) N2 - This paper presents a read circuit design for resistive memory cells based on current mirrors. The circuit utilizes high-precision current mirrors and reference cells to determine the state of resistive memory using comparators. It offers a high degree in adaptability in terms of both resistance range and number of levels. Special emphasis was put on device protection to prevent accidental programming of the memory during read operations. The realized circuit can resolve eight states with a resolution of up to 1 k Ω, realizing a digitization of the analog memory information. Furthermore, the integration in a complete memory macro is shown. The circuit was realized in a 130 nm-process but can easily be adapted to other processes and resistive memory technologies. KW - RRAM KW - memristive device Y1 - 2024 SN - 979-8-3503-7188-8 U6 - https://doi.org/10.1109/ICEIC61013.2024.10457188 SN - 2767-7699 PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Nikiruy, Kristina A1 - Perez, Eduardo A1 - Baroni, Andrea A1 - Reddy, Keerthi Dorai Swamy A1 - Pechmann, Stefan A1 - Wenger, Christian A1 - Ziegler, Martin T1 - Blooming and pruning: learning from mistakes with memristive synapses T2 - Scientific Reports N2 - AbstractBlooming and pruning is one of the most important developmental mechanisms of the biological brain in the first years of life, enabling it to adapt its network structure to the demands of the environment. The mechanism is thought to be fundamental for the development of cognitive skills. Inspired by this, Chialvo and Bak proposed in 1999 a learning scheme that learns from mistakes by eliminating from the initial surplus of synaptic connections those that lead to an undesirable outcome. Here, this idea is implemented in a neuromorphic circuit scheme using CMOS integrated HfO2-based memristive devices. The implemented two-layer neural network learns in a self-organized manner without positive reinforcement and exploits the inherent variability of the memristive devices. This approach provides hardware, local, and energy-efficient learning. A combined experimental and simulation-based parameter study is presented to find the relevant system and device parameters leading to a compact and robust memristive neuromorphic circuit that can handle association tasks. KW - RRAM KW - Neural network Y1 - 2024 U6 - https://doi.org/10.1038/s41598-024-57660-4 SN - 2045-2322 VL - 14 IS - 1 ER - TY - GEN A1 - Petryk, Dmytro A1 - Dyka, Zoya A1 - Perez, Eduardo A1 - Kabin, Ievgen A1 - Katzer, Jens A1 - Schäffner, Jan A1 - Langendörfer, Peter T1 - Sensitivity of HfO2-based RRAM Cells to Laser Irradiation T2 - Microprocessors and Microsystems Y1 - 2021 U6 - https://doi.org/10.1016/j.micpro.2021.104376 SN - 0141-9331 IS - 87 ER - TY - GEN A1 - Ossorio, Óscar G. A1 - Vinuesa, Guillermo A1 - Garcia, Hector A1 - Sahelices, Benjamin A1 - Duenas, Salvador A1 - Castan, Helena A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Wenger, Christian T1 - Performance Assessment of Amorphous HfO2-based RRAM Devices for Neuromorphic Applications T2 - ECS Transactions N2 - The use of thin layers of amorphous hafnium oxide has been shown to be suitable for the manufacture of Resistive Random-Access memories (RRAM). These memories are of great interest because of their simple structure and non-volatile character. They are particularly appealing as they are good candidates for substituting flash memories. In this work, the performance of the MIM structure that takes part of a 4 kbit memory array based on 1-transistor-1-resistance (1T1R) cells was studied in terms of control of intermediate states and cycle durability. DC and small signal experiments were carried out in order to fully characterize the devices, which presented excellent multilevel capabilities and resistive-switching behavior. KW - RRAM KW - resistive switching KW - HfO2 Y1 - 2021 U6 - https://doi.org/10.1149/10202.0029ecst SN - 1938-6737 SN - 1938-5862 VL - 102 IS - 2 SP - 29 EP - 35 ER - TY - GEN A1 - Baroni, Andrea A1 - Glukhov, Artem A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Calore, Enrico A1 - Schifano, Sebastiano Fabio A1 - Olivo, Piero A1 - Ielmini, Daniele A1 - Zambelli, Cristian T1 - An energy-efficient in-memory computing architecture for survival data analysis based on resistive switching memories T2 - Frontiers in Neuroscience N2 - One of the objectives fostered in medical science is the so-called precision medicine, which requires the analysis of a large amount of survival data from patients to deeply understand treatment options. Tools like Machine Learning and Deep Neural Networks are becoming a de-facto standard. Nowadays, computing facilities based on the Von Neumann architecture are devoted to these tasks, yet rapidly hitting a bottleneck in performance and energy efficiency. The In-Memory Computing (IMC) architecture emerged as a revolutionary approach to overcome that issue. In this work, we propose an IMC architecture based on Resistive switching memory (RRAM) crossbar arrays to provide a convenient primitive for matrix–vector multiplication in a single computational step. This opens massive performance improvement in the acceleration of a neural network that is frequently used in survival analysis of biomedical records, namely the DeepSurv. We explored how the synaptic weights mapping strategy and the programming algorithms developed to counter RRAM non-idealities expose a performance/energy trade-off. Finally, we assessed the benefits of the proposed architectures with respect to a GPU-based realization of the same task, evidencing a tenfold improvement in terms of performance and three orders of magnitude with respect to energy efficiency. KW - RRAM KW - In-Memory Computing KW - Multilevel switching Y1 - 2022 U6 - https://doi.org/10.3389/fnins.2022.932270 SN - 1662-4548 VL - Vol. 16 SP - 1 EP - 16 ER - TY - GEN A1 - Petryk, Dmytro A1 - Dyka, Zoya A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Kabin, Ievgen A1 - Wenger, Christian A1 - Langendörfer, Peter T1 - Evaluation of the Sensitivity of RRAM Cells to Optical Fault Injection Attacks T2 - EUROMICRO Conference on Digital System Design (DSD 2020), Special Session: Architecture and Hardware for Security Applications (AHSA) Y1 - 2021 SN - 978-1-7281-9535-3 U6 - https://doi.org/10.1109/DSD51259.2020.00047 SN - 978-1-7281-9536-0 ER - TY - GEN A1 - Glukhov, Artem A1 - Lepri, Nicola A1 - Milo, Valerio A1 - Baroni, Andrea A1 - Zambelli, Cristian A1 - Olivo, Piero A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Ielmini, Daniele T1 - End-to-end modeling of variability-aware neural networks based on resistive-switching memory arrays T2 - Proc. 30th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2022) N2 - Resistive-switching random access memory (RRAM) is a promising technology that enables advanced applications in the field of in-memory computing (IMC). By operating the memory array in the analogue domain, RRAM-based IMC architectures can dramatically improve the energy efficiency of deep neural networks (DNNs). However, achieving a high inference accuracy is challenged by significant variation of RRAM conductance levels, which can be compensated by (i) advanced programming techniques and (ii) variability-aware training (VAT) algorithms. In both cases, however, detailed knowledge and accurate physics-based statistical models of RRAM are needed to develop programming and VAT methodologies. This work presents an end-to-end approach to the development of highly-accurate IMC circuits with RRAM, encompassing the device modeling, the precise programming algorithm, and the VAT simulations to maximize the DNN classification accuracy in presence of conductance variations. KW - RRAM KW - HfO2 KW - neural network KW - memristive switching Y1 - 2022 U6 - https://doi.org/10.1109/VLSI-SoC54400.2022.9939653 SP - 1 EP - 5 ER - TY - GEN A1 - Perez, Eduardo A1 - Ossorio, Óscar G. A1 - Duenas, Salvador A1 - Castan, Helena A1 - García, Hector A1 - Wenger, Christian T1 - Programming Pulse Width Assessment for Reliable and Low-Energy Endurance Performance in Al:HfO2-Based RRAM Arrays T2 - Electronics (MDPI) N2 - A crucial step in order to achieve fast and low-energy switching operations in resistive random access memory (RRAM) memories is the reduction of the programming pulse width. In this study, the incremental step pulse with verify algorithm (ISPVA) was implemented by using different pulse widths between 10 μ s and 50 ns and assessed on Al-doped HfO 2 4 kbit RRAM memory arrays. The switching stability was assessed by means of an endurance test of 1k cycles. Both conductive levels and voltages needed for switching showed a remarkable good behavior along 1k reset/set cycles regardless the programming pulse width implemented. Nevertheless, the distributions of voltages as well as the amount of energy required to carry out the switching operations were definitely affected by the value of the pulse width. In addition, the data retention was evaluated after the endurance analysis by annealing the RRAM devices at 150 °C along 100 h. Just an almost negligible increase on the rate of degradation of about 1 μ A at the end of the 100 h of annealing was reported between those samples programmed by employing a pulse width of 10 μ s and those employing 50 ns. Finally, an endurance performance of 200k cycles without any degradation was achieved on 128 RRAM devices by using programming pulses of 100 ns width KW - RRAM KW - Reliability Y1 - 2020 U6 - https://doi.org/10.3390/electronics9050864 SN - 2079-9292 VL - 9 IS - 5 ER - TY - GEN A1 - Glukhov, Artem A1 - Milo, Valerio A1 - Baroni, Andrea A1 - Lepri, Nicola A1 - Zambelli, Cristian A1 - Olivo, Piero A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Ielmini, Daniele T1 - Statistical model of program/verify algorithms in resistive-switching memories for in-memory neural network accelerators T2 - 2022 IEEE International Reliability Physics Symposium (IRPS) N2 - Resistive-switching random access memory (RRAM) is a promising technology for in-memory computing (IMC) to accelerate training and inference of deep neural networks (DNNs). This work presents the first physics-based statistical model describing (i) multilevel RRAM device program/verify (PV) algorithms by controlled set transition, (ii) the stochastic cycle-to-cycle (C2C) and device-to-device (D2D) variations within the array, and (iii) the impact of such imprecisions on the accuracy of DNN accelerators. The model can handle the full chain from RRAM materials/device parameters to the DNN performance, thus providing a valuable tool for device/circuit codesign of hardware DNN accelerators. KW - RRAM KW - Multilevel switching KW - neural network Y1 - 2022 SN - 978-1-6654-7950-9 SN - 978-1-6654-7951-6 U6 - https://doi.org/10.1109/IRPS48227.2022.9764497 SN - 2473-2001 SP - 3C.3-1 EP - 3C.3-7 PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Baroni, Andrea A1 - Glukhov, Artem A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Ielmini, Daniele A1 - Olivo, Piero A1 - Zambelli, Cristian T1 - Low Conductance State Drift Characterization and Mitigation in Resistive Switching Memories (RRAM) for Artificial Neural Networks T2 - IEEE Transactions on Device and Materials Reliability N2 - The crossbar structure of Resistive-switching random access memory (RRAM) arrays enabled the In-Memory Computing circuits paradigm, since they imply the native acceleration of a crucial operations in this scenario, namely the Matrix-Vector-Multiplication (MVM). However, RRAM arrays are affected by several issues materializing in conductance variations that might cause severe performance degradation. A critical one is related to the drift of the low conductance states appearing immediately at the end of program and verify algorithms that are mandatory for an accurate multi-level conductance operation. In this work, we analyze the benefits of a new programming algorithm that embodies Set and Reset switching operations to achieve better conductance control and lower variability. Data retention analysis performed with different temperatures for 168 hours evidence its superior performance with respect to standard programming approach. Finally, we explored the benefits of using our methodology at a higher abstraction level, through the simulation of an Artificial Neural Network for image recognition task (MNIST dataset). The accuracy achieved shows higher performance stability over temperature and time. KW - RRAM KW - neural network KW - Multilevel switching Y1 - 2022 U6 - https://doi.org/10.1109/TDMR.2022.3182133 SN - 1530-4388 VL - 22 IS - 3 SP - 340 EP - 347 ER - TY - GEN A1 - Zahari, Finn A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Kohlstedt, Hermann A1 - Wenger, Christian A1 - Ziegler, Martin T1 - Analogue pattern recognition with stochastic switching binary CMOS‑integrated memristive devices T2 - Scientific Reports N2 - Biological neural networks outperform todays computer technology in terms of power consumption and computing speed when associative tasks, like pattern recognition, are to be solved. The analogue and massive parallel in-memory computing in biology differs strongly with conventional transistor electronics using the von Neumann architecture. Therefore, novel bio-inspired computing architectures are recently highly investigated in the area of neuromorphic computing. Here, memristive devices, which serve as non-volatile resistive memory, are used to emulate the plastic behaviour of biological synapses. In particular, CMOS integrated resistive random access memory (RRAM) devices are promising candidates to extend conventional CMOS technology in neuromorphic systems. However, dealing with the inherent stochasticity of the resistive switching effect can be challenging for network performance. In this work, the probabilistic switching is exploited to emulate stochastic plasticity with fully CMOS integrated binary RRAM devices. Two different RRAM technologies with different device variabilities are investigated in detail and their use in a stochastic artificial neural network (StochANN) to solve the MINST pattern recognition task is examined. A mixed-signal implementation with hardware synapses and software neurons as well as numerical simulations show the proposed concept of stochastic computing is able to handle analogue data with binary memory cells. KW - RRAM KW - memristive device KW - neural network KW - HfO2 Y1 - 2020 U6 - https://doi.org/10.1038/s41598-020-71334-x SN - 2045-2322 VL - 10 ER - TY - GEN A1 - Zanotti, Tommaso A1 - Puglisi, Francesco Maria A1 - Milo, Valerio A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Ossorio, Óscar G. A1 - Wenger, Christian A1 - Pavan, Paolo A1 - Olivo, Piero A1 - Ielmini, Daniele T1 - Reliability of Logic-in-Memory Circuits in Resistive Memory Arrays T2 - IEEE Transactions on Electron Devices N2 - Logic-in-memory (LiM) circuits based on resistive random access memory (RRAM) devices and the material implication logic are promising candidates for the development of low-power computing devices that could fulfill the growing demand of distributed computing systems. However, these circuits are affected by many reliability challenges that arise from device nonidealities (e.g., variability) and the characteristics of the employed circuit architecture. Thus, an accurate investigation of the variability at the array level is needed to evaluate the reliability and performance of such circuit architectures. In this work, we explore the reliability and performance of smart IMPLY (SIMPLY) (i.e., a recently proposed LiM architecture with improved reliability and performance) on two 4-kb RRAM arrays based on different resistive switching oxides integrated in the back end of line (BEOL) of the 0.25- μm BiCMOS process. We analyze the tradeoff between reliability and energy consumption of SIMPLY architecture by exploiting the results of an extensive array-level variability characterization of the two technologies. Finally, we study the worst case performance of a full adder implemented with the SIMPLY architecture and benchmark it on the analogous CMOS implementation. KW - RRAM KW - in-memory computing KW - HfO2 Y1 - 2020 U6 - https://doi.org/10.1109/TED.2020.3025271 SN - 0018-9383 SN - 1557-9646 VL - 67 IS - 11 SP - 4611 EP - 4615 ER - TY - GEN A1 - Romero-Zaliz, Rocío A1 - Perez, Eduardo A1 - Jimenez-Molinos, Francisco A1 - Wenger, Christian A1 - Roldan, Juan Bautista T1 - Study of Quantized Hardware Deep Neural Networks Based on Resistive Switching Devices, Conventional versus Convolutional Approaches T2 - Electronics (MDPI) N2 - A comprehensive analysis of two types of artificial neural networks (ANN) is performed to assess the influence of quantization on the synaptic weights. Conventional multilayer-perceptron (MLP) and convolutional neural networks (CNN) have been considered by changing their features in the training and inference contexts, such as number of levels in the quantization process, the number of hidden layers on the network topology, the number of neurons per hidden layer, the image databases, the number of convolutional layers, etc. A reference technology based on 1T1R structures with bipolar memristors including HfO2 dielectrics was employed, accounting for different multilevel schemes and the corresponding conductance quantization algorithms. The accuracy of the image recognition processes was studied in depth. This type of studies are essential prior to hardware implementation of neural networks. The obtained results support the use of CNNs for image domains. This is linked to the role played by convolutional layers at extracting image features and reducing the data complexity. In this case, the number of synaptic weights can be reduced in comparison to conventional MLPs. KW - RRAM KW - resistive switching KW - neural network Y1 - 2021 U6 - https://doi.org/10.3390/electronics10030346 SN - 2079-9292 VL - 10 IS - 3 ER - TY - GEN A1 - Soltani Zarrin, Pouya A1 - Zahari, Finn A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Perez, Eduardo A1 - Kohlstedt, Hermann A1 - Wenger, Christian T1 - Neuromorphic on‑chip recognition of saliva samples of COPD and healthy controls using memristive devices T2 - Scientific Reports N2 - Chronic Obstructive Pulmonary Disease (COPD) is a life-threatening lung disease, affecting millions of people worldwide. Implementation of Machine Learning (ML) techniques is crucial for the effective management of COPD in home-care environments. However, shortcomings of cloud-based ML tools in terms of data safety and energy efficiency limit their integration with low-power medical devices. To address this, energy efficient neuromorphic platforms can be used for the hardware-based implementation of ML methods. Therefore, a memristive neuromorphic platform is presented in this paper for the on-chip recognition of saliva samples of COPD patients and healthy controls. The results of its performance evaluations showed that the digital neuromorphic chip is capable of recognizing unseen COPD samples with accuracy and sensitivity values of 89% and 86%, respectively. Integration of this technology into personalized healthcare devices will enable the better management of chronic diseases such as COPD. KW - RRAM KW - memristive device KW - neural network Y1 - 2020 U6 - https://doi.org/10.1038/s41598-020-76823-7 SN - 2045-2322 VL - 10 ER - TY - GEN A1 - Perez, Eduardo A1 - Maldonado, David A1 - Acal, Christian A1 - Ruiz-Castro, Juan Eloy A1 - Aguilera, Ana María A1 - Jimenez-Molinos, Francisco A1 - Roldan, Juan Bautista A1 - Wenger, Christian T1 - Advanced Temperature Dependent Statistical Analysis of Forming Voltage Distributions for Three Different HfO2-Based RRAM Technologies T2 - Solid State Electronics N2 - In this work, voltage distributions of forming operations are analyzed by using an advanced statistical approach based on phase-type distributions (PHD). The experimental data were collected from batches of 128 HfO2-based RRAM devices integrated in 4-kbit arrays. Three di erent switching oxides, namely, polycrystalline HfO2, amorphous HfO2, and Al-doped HfO2, were tested in the temperature range from -40 to 150 oC. The variability of forming voltages has been usually studied by using the Weibull distribution (WD). However, the performance of the PHD analysis demonstrated its ability to better model this crucial operation. The capacity of the PHD to reproduce the experimental data has been validated by means of the Kolmogorov-Smirnov test, while the WD failed in many of the cases studied. In addition, PHD allows to extract information about intermediate probabilistic states that occur in the forming process and the transition probabilities between them; in this manner, we can deepen on the conductive lament formation physics. In particular, the number of intermediate states can be related to the device variability. KW - RRAM KW - HfO2 Y1 - 2021 SN - 0038-1101 SN - 1879-2405 VL - 176 ER - TY - GEN A1 - Baroni, Andrea A1 - Zambelli, Cristian A1 - Olivo, Piero A1 - Perez, Eduardo A1 - Wenger, Christian A1 - Ielmini, Daniele T1 - Tackling the Low Conductance State Drift through Incremental Reset and Verify in RRAM Arrays T2 - 2021 IEEE International Integrated Reliability Workshop (IIRW), South Lake Tahoe, CA, USA, 10 December 2021 N2 - Resistive switching memory (RRAM) is a promising technology for highly efficient computing scenarios. RRAM arrays enabled the acceleration of neural networks for artificial intelligence and the creation of In-Memory Computing circuits. However, the arrays are affected by several issues materializing in conductance variations that might cause severe performance degradation in those applications. Among those, one is related to the drift of the low conductance states appearing immediately at the end of program and verify algorithms that are fundamental for an accurate Multi-level conductance operation. In this work, we tackle the issue by developing an Incremental Reset and Verify technique showing enhanced variability and reliability features compared with a traditional refresh-based approach. KW - RRAM KW - resistive switching KW - neural network Y1 - 2021 SN - 978-1-6654-1794-5 SN - 978-1-6654-1795-2 U6 - https://doi.org/10.1109/IIRW53245.2021.9635613 SN - 2374-8036 PB - Institute of Electrical and Electronics Engineers (IEEE) ER - TY - GEN A1 - Milo, Valerio A1 - Anzalone, Francesco A1 - Zambelli, Cristian A1 - Perez, Eduardo A1 - Mahadevaiah, Mamathamba Kalishettyhalli A1 - Ossorio, Óscar G. A1 - Olivo, Piero A1 - Wenger, Christian A1 - Ielmini, Daniele T1 - Optimized programming algorithms for multilevel RRAM in hardware neural networks T2 - IEEE International Reliability Physics Symposium (IRPS), 2021 N2 - A key requirement for RRAM in neural network accelerators with a large number of synaptic parameters is the multilevel programming. This is hindered by resistance imprecision due to cycle-to-cycle and device-to-device variations. Here, we compare two multilevel programming algorithms to minimize resistance variations in a 4-kbit array of HfO 2 RRAM. We show that gate-based algorithms have the highest reliability. The optimized scheme is used to implement a neural network with 9-level weights, achieving 91.5% (vs. software 93.27%) in MNIST recognition. KW - RRAM KW - Multilevel switching KW - neural network KW - memristive switching Y1 - 2021 SN - 978-1-7281-6894-4 U6 - https://doi.org/10.1109/IRPS46558.2021.9405119 SN - 1938-1891 ER -