@phdthesis{Pflanz, author = {Pflanz, Matthias}, title = {Online error detection and fast recover techniques for dependable embedded processors}, publisher = {Springer}, address = {Berlin [u.a.]}, isbn = {3-540-43318-X}, pages = {XII, 126}, language = {en} } @inproceedings{SchoelzelBachmannVierhaus, author = {Sch{\"o}lzel, Mario and Bachmann, Peter and Vierhaus, Heinrich Theodor}, title = {Application Specific Processor Design for Digital Signal Processing}, series = {Signal processing '2004, workshop proceedings, Poznan, 24th September 2004}, booktitle = {Signal processing '2004, workshop proceedings, Poznan, 24th September 2004}, editor = {Dabrowski, Adam}, publisher = {Poznan Univ. of Technology}, address = {Poznan}, isbn = {83-913251-5-6}, pages = {7 -- 15}, language = {en} } @inproceedings{PflanzVierhaus, author = {Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {Control Signal Protection - A New Challenge for High Performance Processors}, series = {Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece}, booktitle = {Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {0-7695-1968-7}, pages = {173 -- 177}, language = {en} } @inproceedings{VierhausRossmann, author = {Vierhaus, Heinrich Theodor and Rossmann, Helmut}, title = {Power-Timing Optimierung f{\"u}r Zellen-basierte Digitalschaltungen in Submikron-Technologien}, series = {Informatik 2005 - Informatik LIVE!, Beitr{\"a}ge der 35. Jahrestagung der Gesellschaft f{\"u}r Informatik e.V., 19. - 22. September 2005 in Bonn, Bd. 1}, booktitle = {Informatik 2005 - Informatik LIVE!, Beitr{\"a}ge der 35. Jahrestagung der Gesellschaft f{\"u}r Informatik e.V., 19. - 22. September 2005 in Bonn, Bd. 1}, publisher = {Gesellschaft f{\"u}r Informatik}, address = {Bonn}, isbn = {3-88579-396-2}, pages = {339 -- 343}, language = {de} } @misc{VierhausPflanzMohaupt, author = {Vierhaus, Heinrich Theodor and Pflanz, Matthias and Mohaupt, Thomas}, title = {Design and Architecture of Dependable Computer-Based Systems}, language = {en} } @inproceedings{GalkePflanzVierhaus, author = {Galke, Christian and Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {A Test Processor Concept for Systems-on-a-Chip}, language = {en} } @inproceedings{GalkeGrabowVierhaus, author = {Galke, Christian and Grabow, M. and Vierhaus, Heinrich Theodor}, title = {Kompaktierung von Testmustern f{\"u}r den Test von SoCs mittels einer Testprozessor-Architektur}, language = {de} } @inproceedings{GalkeGrabowVierhaus, author = {Galke, Christian and Grabow, M. and Vierhaus, Heinrich Theodor}, title = {Test Pattern De-/Compaction for SoC Test in a Test Processor Environment}, language = {en} } @inproceedings{GalkeGrabowVierhaus, author = {Galke, Christian and Grabow, M. and Vierhaus, Heinrich Theodor}, title = {Perspectives of combining online and offline test technology for dependable systems on a chip}, series = {Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece}, booktitle = {Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece}, publisher = {IEEE}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {0-7695-1968-7}, pages = {183 -- 187}, language = {en} } @inproceedings{KretzschmarGalkeVierhaus, author = {Kretzschmar, Claudia and Galke, Christian and Vierhaus, Heinrich Theodor}, title = {A Hierarchical Self Test Scheme for SoCs}, language = {en} } @inproceedings{KotheGalkeVierhaus, author = {Kothe, Ren{\´e} and Galke, Christian and Vierhaus, Heinrich Theodor}, title = {A Multi-Purpose Concept for SoC Self Test Including Diagnostics Features}, series = {11th IEEE International On-Line Testing Symposium, 2005, IOLTS 2005, 6 - 8 July 2005, [Saint Raphael, French Riviera, France, proceedings]}, booktitle = {11th IEEE International On-Line Testing Symposium, 2005, IOLTS 2005, 6 - 8 July 2005, [Saint Raphael, French Riviera, France, proceedings]}, publisher = {Los Alamitos, Calif. [u.a.]}, address = {IEEE Computer Society}, isbn = {0-7695-2406-0}, pages = {241 -- 246}, language = {en} } @inproceedings{GalkeKotheVierhaus, author = {Galke, Christian and Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Logic Self Repair}, series = {Workshop proceedings, ARCS '06, 19th International Conference on Architecture of Computing Systems, March 16, 2006, Frankfurt am Main}, booktitle = {Workshop proceedings, ARCS '06, 19th International Conference on Architecture of Computing Systems, March 16, 2006, Frankfurt am Main}, publisher = {Ges. f{\"u}r Informatik}, address = {Bonn}, isbn = {978-3-88579-175-1}, pages = {36 -- 44}, language = {en} } @inproceedings{KrautzPflanzVierhausetal., author = {Krautz, U. and Pflanz, Matthias and Vierhaus, Heinrich Theodor and Jacobi, C. and Tast, H. W.}, title = {Evaluating Coverage of Error Detection Logic for Soft Errors using Formal Methods}, series = {Design, automation and test in Europe, Munich, Germany, March 6 - 10, 2006, proceedings, vol. 1}, booktitle = {Design, automation and test in Europe, Munich, Germany, March 6 - 10, 2006, proceedings, vol. 1}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {3-9810801-1-4}, pages = {176 -- 181}, language = {en} } @phdthesis{Misera, author = {Misera, Silvio Andre}, title = {Simulation von Fehlern in digitalen Schaltungen mit SystemC}, url = {http://nbn-resolving.de/urn:nbn:de:kobv:co1-opus-4063}, language = {de} } @inproceedings{PflanzWaltherVierhaus, author = {Pflanz, Matthias and Walther, Karsten and Vierhaus, Heinrich Theodor}, title = {On-Line Built-In Self-Check Techniken f{\"u}r zuverl{\"a}ssige eingebettete Prozessoren mit hoher Konplexit{\"a}t}, editor = {Alt, J{\"u}rgen}, language = {de} } @inproceedings{PflanzWaltherVierhaus, author = {Pflanz, Matthias and Walther, Karsten and Vierhaus, Heinrich Theodor}, title = {On-line Error Detection Techniques for Depandable Embedded Processors with High Complexity}, series = {Proceedings, Seventh International On-Line Testing Workshop, 9 - 11 July 2001, Giardini Naxos, Taormina, Italy}, booktitle = {Proceedings, Seventh International On-Line Testing Workshop, 9 - 11 July 2001, Giardini Naxos, Taormina, Italy}, isbn = {0-7695-1290-9}, pages = {51 -- 53}, language = {en} } @misc{PflanzVierhaus, author = {Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {Online Check and Recovery Techniques for Depandable Embedded Processors}, series = {IEEE micro}, volume = {21}, journal = {IEEE micro}, number = {5}, issn = {0272-1732}, pages = {24 -- 40}, language = {en} } @incollection{GlaeserStamenkovićVierhaus, author = {Gl{\"a}ser, Uwe and Stamenković, Zoran and Vierhaus, Heinrich Theodor}, title = {Testing of Synchronous Sequential Digital Circuits}, series = {The Computer Engineering Handbook}, booktitle = {The Computer Engineering Handbook}, editor = {Oklobdzija, Vojin G.}, publisher = {CRC Press}, address = {Boca Raton [u.a.]}, isbn = {0-8493-0885-2}, pages = {45-1 -- 45-22}, language = {en} } @inproceedings{PflanzWaltherGalkeetal., author = {Pflanz, Matthias and Walther, Karsten and Galke, Christian and Vierhaus, Heinrich Theodor}, title = {On-Line Error Detection and Correction in Storage Elements with Cross-Parity Check}, series = {Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France}, booktitle = {Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {0-7695-1641-6}, pages = {69 -- 73}, language = {en} } @inproceedings{GalkePflanzVierhaus, author = {Galke, Christian and Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {On-Line Detection and Compensation of Transient Errors in Processor Pipeline Structurs}, series = {Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France}, booktitle = {Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {0-7695-1641-6}, pages = {S. 178}, language = {en} } @inproceedings{GalkeMohauptPflanzetal., author = {Galke, Christian and Mohaupt, Thomas and Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {Hardware/Software Based Test Techniques for Systems on a Chip with Embedded Processors}, issn = {1437-7969}, language = {en} } @inproceedings{GalkePflanzHennigetal., author = {Galke, Christian and Pflanz, Matthias and Hennig, Hardy and Vierhaus, Heinrich Theodor}, title = {Hardware/Software basierter Selbsttest f{\"u}r System on a Chip (SOCs) mit eingebetteten Prozessoren}, editor = {Wagner, Ralph}, language = {de} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {HW / SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths}, series = {2010 Design, Automation \& Test in Europe Conference \& Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010}, booktitle = {2010 Design, Automation \& Test in Europe Conference \& Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4244-7054-9}, pages = {723 -- 728}, language = {en} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {Software-Based Self-Repair of Statically Scheduled Superscalar Data Paths}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Basic Architecture for Logic Self Repair}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Eingebaute Selbstreparatur zur Kompensation von Produktions- und Alterungsfehlern}, language = {de} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {M{\"o}glichkeiten und Grenzen der Software-basierten Selbstreparatur in statisch geplanten superskalaren Prozessorarchitekturen}, language = {de} } @inproceedings{OPUS4-7067, title = {IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011}, editor = {Vierhaus, Heinrich Theodor and Steininger, Andreas and Pawlak, A. and Kraemer, Rolf and Sch{\"o}lzel, Mario and Raik, Jaan}, isbn = {978-1-4244-9755-3}, pages = {464}, abstract = {Konferenzband, erstellt und gedruckt von BTU Cottbus, Rechte bei IEEE Computer Society}, language = {en} } @article{MiseraVierhaus, author = {Misera, Silvio Andre and Vierhaus, Heinrich Theodor}, title = {Simulated fault injections and their acceleration in system C}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Optimal Spare Utilization for Reliability and Men Litetime Improvement for Logic Built-in Self Repair}, series = {Proceedings, IEEE DDECS 2011, Cottbus}, booktitle = {Proceedings, IEEE DDECS 2011, Cottbus}, isbn = {978-1-4244-9753-9}, abstract = {Tagungsbeitrag DDECS 2011, Cottbus}, language = {en} } @inproceedings{UlbrichtSchoelzelKoaletal., author = {Ulbricht, Markus and Sch{\"o}lzel, Mario and Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {A New Hierarchical Built-In Test with On-Chip Diagnosis for VLIW Processors}, series = {IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011}, booktitle = {IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011}, isbn = {978-1-4244-9755-3}, pages = {143 -- 146}, abstract = {Tagungsbeitrag DDECS 2011, Cottbus}, language = {en} } @inproceedings{UlbrichtSchoelzelKoaletal., author = {Ulbricht, Markus and Sch{\"o}lzel, Mario and Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {A New Hiararchical Built-In SElf Test with On-Chip Diagnosis for VLIW Processors}, abstract = {Tagungsbeitrag 23. ITG-Tagung "Test und Zuverl{\"a}ssigeit von Schaltungen und Systemen", Passau, 2011}, language = {en} } @inproceedings{KoalBeckVierhaus, author = {Koal, Tobias and Beck, Matthias-Stephan and Vierhaus, Heinrich Theodor}, title = {Rekonfigurierbare Logik f{\"u}r Ausbeute-Optimierung und Verschleiß-Kompensation}, abstract = {Tagungsbeitrag ITG-GI-GMM-Tagung "Zuverl{\"a}ssigeit und Entwurf", Hamburg, 2011}, language = {de} } @book{OPUS4-7041, title = {Design and test technology for dependable systems-on-chip}, publisher = {Information Science Reference}, address = {Hershay, Pa. [u.a.]}, isbn = {978-1-6096-0212-3}, pages = {XXVI, 550}, language = {en} } @inproceedings{KotheVierhausCoymetal., author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor and Coym, Torsten and Vermeiren, W. and Straube, B.}, title = {Embedded Self Repair by Transistor and Gate Level Reconfiguration}, series = {Design and Diagnostics of Electronic Circuits and systems, (DDECS 2006), Prag, April 2006}, booktitle = {Design and Diagnostics of Electronic Circuits and systems, (DDECS 2006), Prag, April 2006}, publisher = {IEEE}, isbn = {1-4244-0185-2}, pages = {208 -- 213}, language = {en} } @inproceedings{HabermannKotheVierhaus, author = {Habermann, Sven and Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Built-in Self Repair by Reconfiguration of FPGAs}, series = {Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006}, booktitle = {Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif [u.a.]}, isbn = {978-0-7695-2620-1}, pages = {187 -- 188}, language = {en} } @inproceedings{VickRossmannVierhaus, author = {Vick, Axel and Rossmann, Helmut and Vierhaus, Heinrich Theodor}, title = {Timing-Power-getriebener Layout-Entwurf f{\"u}r Zellen-basierte Digitalschaltungen}, series = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 9. ITG/GI/GMM Workshop, 20. - 22. Februar 2006, Dresden}, booktitle = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 9. ITG/GI/GMM Workshop, 20. - 22. Februar 2006, Dresden}, address = {Dresden}, pages = {61 -- 69}, language = {de} } @incollection{VierhausStamenković, author = {Vierhaus, Heinrich Theodor and Stamenković, Zoran}, title = {Test Technology for Sequential Circuits}, series = {The Computer Engineering Handbook, Part 1, Digital design and fabrication}, booktitle = {The Computer Engineering Handbook, Part 1, Digital design and fabrication}, editor = {Oklobdzija, Vojin G.}, edition = {2. edition}, publisher = {CRC Press}, address = {Boca Raton}, isbn = {978-0-8493-8602-2}, language = {en} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Flip-Flops and Scan Path Elements for Nanoelectronics}, series = {Proceedings, Design and Diagnostics of Electronic Circuits and Systems DDECS '07, Krakow, 11 - 13 April 2007}, booktitle = {Proceedings, Design and Diagnostics of Electronic Circuits and Systems DDECS '07, Krakow, 11 - 13 April 2007}, publisher = {IEEE}, isbn = {1-4244-1162-9}, pages = {6}, language = {en} } @inproceedings{MiseraSieber, author = {Misera, Silvio Andre and Sieber, Andr{\´e}}, title = {Hardware-nahe Fehlersimulation mit effektiven SystemC-Modellen}, series = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 10. GI/ITG/GMM-Workshop Modellierung und Verifikation}, booktitle = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 10. GI/ITG/GMM-Workshop Modellierung und Verifikation}, publisher = {Shaker}, address = {Aachen}, pages = {39 -- 48}, language = {de} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Reparaturfunktionen f{\"u}r Bus-Strukturen auf SoCs}, series = {Zuverl{\"a}ssigkeit und Entwurf, 1. GMM/GI/ITG-Fachtagung vom 26. bis 28. M{\"a}rz 2007 in M{\"u}nchen}, booktitle = {Zuverl{\"a}ssigkeit und Entwurf, 1. GMM/GI/ITG-Fachtagung vom 26. bis 28. M{\"a}rz 2007 in M{\"u}nchen}, editor = {Sattler, Sebastian}, publisher = {VDE-Verl.}, address = {Berlin [u.a.]}, isbn = {978-3-8007-3023-0}, pages = {77 -- 84}, language = {de} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Repair Functions and Redundancy Management for Bus Structures}, series = {Workshop proceedings, ARCS 2007, 20th International Conference on Architecture of Computing Systems, March 15, 2007, Zurich, Switzerland}, booktitle = {Workshop proceedings, ARCS 2007, 20th International Conference on Architecture of Computing Systems, March 15, 2007, Zurich, Switzerland}, publisher = {VDE-Verlag}, address = {Berlin [u.a.]}, isbn = {978-3-8007-3015-5}, language = {en} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {An Embedded Test Strategy for Global and Regiional Interconnects on SoCs}, series = {Signal processing '2006, workshop proceedings, Poznan, 29th September 2006}, booktitle = {Signal processing '2006, workshop proceedings, Poznan, 29th September 2006}, editor = {Dabrowski, Adam}, address = {Poznan}, isbn = {83-913251-7-2}, pages = {65 -- 70}, language = {en} } @inproceedings{MiseraBreitenfeldSieberetal., author = {Misera, Silvio Andre and Breitenfeld, Lars and Sieber, Andr{\´e} and Vierhaus, Heinrich Theodor}, title = {A Mixed Level Fault Simulation of VHDL and SystemC}, abstract = {Konferenzbeitrag EuroMicro Conference on Digital System Design (DSD 2006), Dubrovnik, September 2006}, language = {en} } @inproceedings{MiseraSieberBreitenfeldetal., author = {Misera, Silvio Andre and Sieber, Andr{\´e} and Breitenfeld, Lars and Vierhaus, Heinrich Theodor}, title = {Eine Mixed-Language-Fault-Simulation von VHDL- und SystemC-Modellen}, series = {Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006}, booktitle = {Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006}, publisher = {Fraunhofer-Institut}, address = {Dresden}, language = {de} } @inproceedings{KotheHabermannVierhausetal., author = {Kothe, Ren{\´e} and Habermann, Sven and Vierhaus, Heinrich Theodor and Coym, Torsten and Vermeiren, W. and Straube, B.}, title = {Selbstreparatur von Logik-Baugruppen in hochintegrieten Schaltungen- M{\"o}glichkeiten und Grenzen}, series = {Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006}, booktitle = {Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006}, publisher = {Fraunhofer-Institut}, address = {Dresden}, language = {de} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {Reduced Triple Modular Redundancy for Built-in Self Repair in VLIW Processors}, abstract = {Tagungsbeitrag IEEE SPA 2007, Poznan}, language = {en} } @inproceedings{MiseraRossmannVierhaus, author = {Misera, Silvio Andre and Rossmann, Helmut and Vierhaus, Heinrich Theodor}, title = {Timing / Power Optimization for Digital Logic Based on Standard Cells}, abstract = {Konferenzbeitrag Euro Micro Conference on Digital System Design (DSD) 2007, L{\"u}beck,Sept. 2007}, language = {en} } @inproceedings{SieberMiseraVierhaus, author = {Sieber, Andr{\´e} and Misera, Silvio Andre and Vierhaus, Heinrich Theodor}, title = {Fault Injection Techniques and their Acccelerated Simulation in SystemC}, series = {Proceedings, 10th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2007, 29 - 31 August 2007, L{\"u}beck, Germany}, booktitle = {Proceedings, 10th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2007, 29 - 31 August 2007, L{\"u}beck, Germany}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {978-0-7695-2978-3}, pages = {587 -- 595}, language = {en} } @inproceedings{KoalScheitVierhaus, author = {Koal, Tobias and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {Schwachstellen und Engp{\"a}sse bei Verfahren zur Fehlerkompensation und Selbstreparatur f{\"u}r hochintegrierte Schaltungen}, series = {Zuverl{\"a}ssigkeit und Entwurf, 4. GMM/GI/ITG-Fachtagung vom 13. bis 15. September 2010 in Wildbad Kreuth}, booktitle = {Zuverl{\"a}ssigkeit und Entwurf, 4. GMM/GI/ITG-Fachtagung vom 13. bis 15. September 2010 in Wildbad Kreuth}, publisher = {VDE-Verlag}, address = {Berlin [u.a.]}, isbn = {978-3-8007-3299-9}, pages = {57 -- 62}, language = {de} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {A Software Based Self Test and Hardware Reconfiguration Solution for VLIW Processors}, series = {2010 IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2010), Vienna, Austria, 14 - 16 April 2010}, booktitle = {2010 IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2010), Vienna, Austria, 14 - 16 April 2010}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4244-6612-2}, pages = {40 -- 43}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Combining De-Stressing and Self Repair for Long-Term Dependable Systems}, series = {2010 IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2010), Vienna, Austria, 14 - 16 April 2010}, booktitle = {2010 IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2010), Vienna, Austria, 14 - 16 April 2010}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4244-6613-9}, pages = {99 -- 104}, language = {en} } @inproceedings{GleichnerKoalVierhaus, author = {Gleichner, Christian and Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Effiziente Verfahren der Selbstreparatur von Logik}, abstract = {Tagungsbeitrag ITG-GI-GMM Workshop "Test und Zuverl{\"a}ssigkeit von Schaltungen und Systemen", Paderborn, Februar 2010}, language = {de} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {Scaling the Discrete Cosine Transformation for Fault-Torelant Real-Time Execution}, series = {Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings}, booktitle = {Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings}, publisher = {Univ. of Technology, Fac. of Computing Science and Management}, address = {Poznan}, isbn = {978-83-62065-00-4}, pages = {19 -- 24}, language = {en} } @inproceedings{KoalScheitVierhaus, author = {Koal, Tobias and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {A Comprehensive Scheme for Logic Self Repair}, series = {Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings}, booktitle = {Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings}, publisher = {Univ. of Technology, Fac. of Computing Science and Management}, address = {Poznan}, isbn = {978-83-62065-00-4}, pages = {13 -- 18}, language = {en} } @inproceedings{KoalScheitVierhaus, author = {Koal, Tobias and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {A Concept for Logic Self Repair}, series = {12th Euromicro Conference on Digital System Design, DSD '09, 27 - 29 Aug. 2009, Patras, Greece}, booktitle = {12th Euromicro Conference on Digital System Design, DSD '09, 27 - 29 Aug. 2009, Patras, Greece}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-424-44768-8}, pages = {621 -- 624}, language = {en} } @inproceedings{KoalScheitVierhaus, author = {Koal, Tobias and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {Reliability Estimation Process}, series = {12th Euromicro Conference on Digital System Design, DSD '09, 27 - 29 Aug. 2009, Patras, Greece}, booktitle = {12th Euromicro Conference on Digital System Design, DSD '09, 27 - 29 Aug. 2009, Patras, Greece}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-424-44768-8}, pages = {221 -- 224}, language = {en} } @inproceedings{KoalSchoelzelVierhaus, author = {Koal, Tobias and Sch{\"o}lzel, Mario and Vierhaus, Heinrich Theodor}, title = {Dependability and Life Time Enhancement for Nano-Electronic Systems}, series = {Signal processing, SPA 2011, Poznan, 29 - 30th September 2011, conference proceedings}, booktitle = {Signal processing, SPA 2011, Poznan, 29 - 30th September 2011, conference proceedings}, publisher = {Univ. of Technology, Fac. of Computing, Chair of Control and System Engineering}, address = {Poznan}, isbn = {978-83-62065-02-8}, pages = {61 -- 67}, language = {en} } @inproceedings{KoalScheitSchoelzeletal., author = {Koal, Tobias and Scheit, Daniel and Sch{\"o}lzel, Mario and Vierhaus, Heinrich Theodor}, title = {On the Feasibility of Built-in Self Repair for Logic Circuits}, series = {2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011}, booktitle = {2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4577-1713-0}, pages = {316 -- 324}, language = {en} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {Fine-Grained Software-Based Self Repair of VLIW Processors}, series = {2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011}, booktitle = {2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4577-1713-0}, pages = {41 -- 49}, language = {en} } @inproceedings{GleichnerKoalVierhaus, author = {Gleichner, Christian and Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Effective Logic Self Repair Based on Extracted Logic Clusters}, series = {Signal Processing Algorithms, Architectures, Arrangements, and Applications Conference Proceedings (SPA), 2010, Poznan}, booktitle = {Signal Processing Algorithms, Architectures, Arrangements, and Applications Conference Proceedings (SPA), 2010, Poznan}, publisher = {IEEE}, isbn = {978-1-4577-1485-6}, pages = {6}, language = {en} } @inproceedings{MuellerSchoelzel, author = {M{\"u}ller, Sebastian and Sch{\"o}lzel, Mario}, title = {Combining Hardware and Software Based Self Repair Methods for Statically Scheduled Data Paths}, series = {2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 2010), Kyoto, Japan, 6 - 8 October 2010}, booktitle = {2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 2010), Kyoto, Japan, 6 - 8 October 2010}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-424-48447-8}, pages = {90 -- 98}, language = {en} } @inproceedings{FrostRudolphGalkeetal., author = {Frost, Raik and Rudolph, D. and Galke, Christian and Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {A Configurable Modular Test Processor and Scan Controller Architecture}, series = {Proceedings, IOLTS 2007, 13th IEEE International On-Line Testing Symposium, Heraklion, Crete, Greece, 8 - 11 July 2007}, booktitle = {Proceedings, IOLTS 2007, 13th IEEE International On-Line Testing Symposium, Heraklion, Crete, Greece, 8 - 11 July 2007}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {0-7695-2918-6}, pages = {277 -- 284}, language = {en} } @inproceedings{GalkeKoalVierhaus, author = {Galke, Christian and Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {M{\"o}glichkeiten und Grenzen der automatischen SBST-Generierung f{\"u}r einfache Prozessoren}, series = {Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS' 2007), 8. - 9. Mai 2007}, booktitle = {Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS' 2007), 8. - 9. Mai 2007}, publisher = {TUDpress, Verl. der Wissenschaften}, address = {Dresden}, isbn = {978-3-940046-28-4}, pages = {39 -- 44}, language = {de} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Embedded Diagnostic Logic Test Exploiting Regularity}, series = {Proceedings, 11th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, 2008, DSD '08, 3 - 5 Sept. 2008, Parma, Italy}, booktitle = {Proceedings, 11th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, 2008, DSD '08, 3 - 5 Sept. 2008, Parma, Italy}, editor = {Fanucci, Luca}, publisher = {IEEE}, address = {Piscataway, NJ}, pages = {873 -- 879}, language = {en} } @inproceedings{KoalScheitVierhaus, author = {Koal, Tobias and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {M{\"o}glichkeiten und Grenzen der Selbstreparatur f{\"u}r Logik}, series = {Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS'2008), Workshop Entwurf integrierter Schaltungen (WEIS'08) 15. - 16. Mai 2008}, booktitle = {Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS'2008), Workshop Entwurf integrierter Schaltungen (WEIS'08) 15. - 16. Mai 2008}, publisher = {Fraunhofer Institut f{\"u}r integrierte Schaltungen}, address = {Dresden}, isbn = {3-9810287-2-4}, language = {de} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {Ein hybrides Selbsttest- und Reparaturkonzept f{\"u}r VLIW-Prozessoren}, abstract = {Tagungsbeitrag 20. ITG-GI-GMM-Workshop "Zuverl{\"a}ssiglkeit und Entwurf", Wien, Februar 2008}, language = {de} } @inproceedings{KoalScheitVierhaus, author = {Koal, Tobias and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {Selbstreparatur durch Regularisierung von Logik-Strukturen}, series = {Zuverl{\"a}ssigkeit und Entwurf, 3. GMM/GI/ITG-Fachtagung vom 21. bis 23. September 2009 in Stuttgart}, booktitle = {Zuverl{\"a}ssigkeit und Entwurf, 3. GMM/GI/ITG-Fachtagung vom 21. bis 23. September 2009 in Stuttgart}, isbn = {978-3-8007-3178-7}, pages = {29 -- 36}, language = {de} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {A Scheme of Logic Self Repair Including Local Interconnects}, series = {12th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems, DDECS, Liberec, Czech Republic, 15 - 17 April 2009}, booktitle = {12th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems, DDECS, Liberec, Czech Republic, 15 - 17 April 2009}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4244-3339-1}, language = {en} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {A Delay Estimation of Rescheduling Schemes for Statically Scheduled Processors}, series = {Workshop proceedings, ARCS 2009, 22th International Conference on Architecture of Computing Systems, March 11, 2009, Delft, The Netherlands}, booktitle = {Workshop proceedings, ARCS 2009, 22th International Conference on Architecture of Computing Systems, March 11, 2009, Delft, The Netherlands}, publisher = {VDE-Verlag}, address = {Berlin [u.a.]}, isbn = {978-3-8007-3133-6}, pages = {117 -- 124}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Logik-Selbstreparatur auf der Basis elementarer Logik-Bl{\"o}cke mit lokaler Redundanz}, abstract = {Tagunsgbeitrag ITG / GMM / GI- Tagung "Test und Zuverl{\"a}ssigkeit von Schaltungen und Systemen", Bremen, Februar 2009}, language = {de} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Logic Self Repair Based on Regular Buidling Blocks}, abstract = {Tagungsbeitrag ARCS2009-Workshop on Fault Tolerance, Delft, M{\"a}rz 2009}, language = {en} } @inproceedings{Vierhaus, author = {Vierhaus, Heinrich Theodor}, title = {Logic Self Repair based on Regular Building Blocks}, abstract = {Konferenzbeitrag IEEE NTAV / SPA, Poznan, Sept. 2008}, language = {en} } @inproceedings{KoalScheitVierhaus, author = {Koal, Tobias and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {M{\"o}glichkeiten und Grenzen der Selbstreparatur f{\"u}r Logik}, series = {Zuverl{\"a}ssigkeit und Entwurf, 2. GMM/GI/ITG-Fachtagung vom 29. September bis 1. Oktober 2008 in Ingolstadt}, booktitle = {Zuverl{\"a}ssigkeit und Entwurf, 2. GMM/GI/ITG-Fachtagung vom 29. September bis 1. Oktober 2008 in Ingolstadt}, publisher = {VDE-Verl.}, address = {Berlin [u.a.]}, isbn = {978-3-8007-3119-0}, pages = {57 -- 64}, language = {de} } @inproceedings{Misera, author = {Misera, Silvio}, title = {Hierarchische Fehlersimulation mit effektiven SystemC-Modellen}, series = {1st Cooperation Workshop of Computer Science, 2006, Cottbus}, booktitle = {1st Cooperation Workshop of Computer Science, 2006, Cottbus}, editor = {Bachmann, Peter and Vierhaus, Heinrich Theodor}, publisher = {BTU, Inst. of Computer Science}, address = {Cottbus}, issn = {1437-7969}, pages = {31 -- 36}, language = {de} } @incollection{MiseraSieber, author = {Misera, Silvio and Sieber, Andr{\´e}}, title = {Hardware-nahe Fehlersimulation mit effektievn SystemC-Modellen}, series = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen}, booktitle = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen}, editor = {Haubelt, Christian and Teich, J{\"u}rgen}, publisher = {Shaker}, address = {Aachen}, isbn = {978-3-8322-5956-3}, pages = {39 -- 48}, language = {de} } @inproceedings{KotheScheitVierhaus, author = {Kothe, Ren{\´e} and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {Angepasste Fehlerdiagnose f{\"u}r die Selbstreparatur in logischen Schaltungen}, series = {Dresdner Arbeitstagung Schaltungs- und Systementwurf, (DASS'2008), Workshop Entwurf integrierter Schaltungen (WEIS'08) 15. - 16. Mai 2008}, booktitle = {Dresdner Arbeitstagung Schaltungs- und Systementwurf, (DASS'2008), Workshop Entwurf integrierter Schaltungen (WEIS'08) 15. - 16. Mai 2008}, editor = {Elst, G.}, publisher = {Fraunhofer Institut f{\"u}r integrierte Schaltungen}, address = {Dresden}, isbn = {3-9810287-2-4}, language = {de} } @inproceedings{SchoelzelKoalVierhaus, author = {Sch{\"o}lzel, Mario and Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Systematic Generation of Diagnostic Software-Based Self-Test Routines for Processor Components}, series = {19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn}, booktitle = {19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn}, publisher = {IEEE}, isbn = {978-1-4799-3415-7}, pages = {6}, language = {en} } @inproceedings{SchoelzelKoalScharobaetal., author = {Sch{\"o}lzel, Mario and Koal, Tobias and Scharoba, Stefan and Vierhaus, Heinrich Theodor}, title = {A Multi-Layer Software Based Fault-Tolerance Approach for Heterogenous Multi-Core Systems}, series = {16th Latin-American Test Symposium (LATS 2015), Puerto Vallarta, Mexico, 25-27 March 2015}, booktitle = {16th Latin-American Test Symposium (LATS 2015), Puerto Vallarta, Mexico, 25-27 March 2015}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4673-6710-3}, pages = {1 -- 6}, language = {en} } @inproceedings{KoalScharobaVierhaus, author = {Koal, Tobias and Scharoba, Stefan and Vierhaus, Heinrich Theodor}, title = {Exploring diagnostic capabilities of software-based self-tests for production and in-field application}, series = {Proceedings of the 2015 IEEE International Workshop of Electronics, Control, Measurement, Signals and Their Application to Mechatronics (ECMSM), June 22-24, 2015, Liberec, Czech Republic}, booktitle = {Proceedings of the 2015 IEEE International Workshop of Electronics, Control, Measurement, Signals and Their Application to Mechatronics (ECMSM), June 22-24, 2015, Liberec, Czech Republic}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4799-6970-8}, pages = {1 -- 6}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Redundancy evaluation process of processor components for permanent fault compensation}, series = {2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2015), Montr{\´e}al, Quebec, Canada, 15-18 June 2015}, booktitle = {2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2015), Montr{\´e}al, Quebec, Canada, 15-18 June 2015}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4673-7501-6}, pages = {1 -- 6}, language = {en} } @misc{UrbanVierhausSchoelzeletal., author = {Urban, Roberto and Vierhaus, Heinrich Theodor and Sch{\"o}lzel, Mario and Altmann, Enrico and Seelig, Horst}, title = {Non-Cyclic Design Space Exploration for ASIPS - Compiler-Centered Microprocessor Design (CoMet)}, series = {Journal of Circuits, Systems and Computers}, volume = {25}, journal = {Journal of Circuits, Systems and Computers}, number = {3}, issn = {0218-1266}, doi = {10.1142/S0218126616400120}, pages = {16}, language = {en} } @inproceedings{Pfeifer, author = {Pfeifer, Petr}, title = {FPGAs getting teeny! What can we expect from them?}, series = {DASS 2016, Dresdner Arbeitstagung Schaltungs- und Systementwurf}, booktitle = {DASS 2016, Dresdner Arbeitstagung Schaltungs- und Systementwurf}, editor = {Gleichner, Christian and Vierhaus, Heinrich Theodor}, publisher = {Fraunhofer Verlag}, address = {Stuttgart}, language = {en} } @misc{AlKadiJanssenMoriAlvesdaSilvaetal., author = {Al Kadi, Muhammed Soubhi and Janßen, Benedikt and Mori Alves da Silva, Jones Yudi and H{\"u}bner, Michael}, title = {General-Purpose Computing with Soft GPUs on FPGAs}, series = {ACM Transactions on Reconfigurable Technology and Systems}, volume = {11}, journal = {ACM Transactions on Reconfigurable Technology and Systems}, number = {1}, issn = {1936-7406}, doi = {10.1145/3173548}, pages = {22}, language = {en} } @misc{MoenksDoerksenLohwegetal., author = {M{\"o}nks, Uwe and D{\"o}rksen, Helene and Lohweg, Volker and H{\"u}bner, Michael}, title = {Information Fusion of Conflicting Input Data}, series = {Sensors}, volume = {16}, journal = {Sensors}, number = {11}, issn = {1424-8220}, pages = {37}, language = {en} } @misc{SchwiegelshohnOssovskiHuebner, author = {Schwiegelshohn, Fynn and Ossovski, Eugen and H{\"u}bner, Michael}, title = {A resampling method for parallel particle filter architectures}, series = {Microprocessors \& Microsystems}, volume = {47, B}, journal = {Microprocessors \& Microsystems}, issn = {0141-9331}, doi = {10.1016/j.micpro.2016.07.017}, pages = {314 -- 320}, language = {en} } @misc{GrimmHuebnerLettnin, author = {Grimm, Tomas and H{\"u}bner, Michael and Lettnin, Djones}, title = {A Survey on Formal Verification Techniques for Safety-Critical Systems-on-Chip}, series = {Electronics}, volume = {7}, journal = {Electronics}, number = {6}, issn = {2079-9292}, doi = {10.3390/electronics7060081}, pages = {24}, language = {en} } @misc{MuschHuebnerGebhardtetal., author = {Musch, Thomas and H{\"u}bner, Michael and Gebhardt, Patrick and Abrolat, Jan Christoph and Gevers, Martin and Vogt, Michael}, title = {Tomografische Verfahren f{\"u}r intelligente Sensoren in der Prozessautomatisierung}, series = {atp plus : das Magazin der Automatisierungstechnik : Sonderausgabe}, volume = {1}, journal = {atp plus : das Magazin der Automatisierungstechnik : Sonderausgabe}, issn = {2510-3911}, pages = {34 -- 41}, language = {de} } @misc{GlockHillenbrandHuebner, author = {Glock, Thomas and Hillenbrand, Martin and H{\"u}bner, Michael}, title = {Smarte Sensoren in der Feldebene}, series = {atp edition}, volume = {57}, journal = {atp edition}, number = {11}, issn = {2364-3137}, pages = {32 -- 42}, language = {de} } @misc{HosseinzadehPfeiferVierhaus, author = {Hosseinzadeh, Farnoosh and Pfeifer, Petr and Vierhaus, Heinrich Theodor}, title = {Optimal Dependability and Fine Granular Error Resilience Methodology for Reconfigurable Systems}, series = {21st Euromicro Conference on Digital System Design, DSD 2018, 29-31 August 2018, Prague, Czech Republic, proceedings}, journal = {21st Euromicro Conference on Digital System Design, DSD 2018, 29-31 August 2018, Prague, Czech Republic, proceedings}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5386-7377-5}, doi = {10.1109/DSD.2018.00048}, pages = {206 -- 213}, language = {en} } @misc{VierhausJenihhinSonzaReorda, author = {Vierhaus, Heinrich Theodor and Jenihhin, Maksim and Sonza-Reorda, Matteo}, title = {RESCUE: Cross-Sectoral PhD Training Concept for Interdependent Reliability, Security and Quality}, series = {2018 Proceedings of the 12th European Workshop on Microelectronics Education (EWME), Braunschweig, 24-26 Sept. 2018}, journal = {2018 Proceedings of the 12th European Workshop on Microelectronics Education (EWME), Braunschweig, 24-26 Sept. 2018}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5386-9114-4}, doi = {10.1109/EWME.2018.8629465}, pages = {45 -- 50}, language = {en} } @misc{KorteHuebner, author = {Korte, Jan and H{\"u}bner, Michael}, title = {Reactive current compensation method for PFC applications based on SOGI-PLL}, series = {VLSI Circuits and Systems Letter}, volume = {5}, journal = {VLSI Circuits and Systems Letter}, number = {2}, language = {en} } @misc{SegabinazziFerreiraGeorgeChenetal., author = {Segabinazzi Ferreira, Raphael and George, Nevin and Chen, Junchao and H{\"u}bner, Michael and Krstic, Milos and Nolte, J{\"o}rg and Vierhaus, Heinrich Theodor}, title = {Configurable Fault Tolerant Circuits and System Level Integration for Self-Awareness}, series = {Proceedings of the Work in Progress Session held in connection with SEAA 2019, the 45th EUROMICRO Conference on Software Engineering and Advanced Applications and DSD 2019, the 22nd EUROMICRO Conference on Digital System Design}, journal = {Proceedings of the Work in Progress Session held in connection with SEAA 2019, the 45th EUROMICRO Conference on Software Engineering and Advanced Applications and DSD 2019, the 22nd EUROMICRO Conference on Digital System Design}, publisher = {SEA-Publications}, address = {Kallithea, Chalkidiki (Greece)}, isbn = {978-3-902457-54-7}, doi = {10.26127/BTUOpen-5050}, pages = {2}, abstract = {Scaling minimum features of ICs down to the 10nm- area and below has allowed high integration rates in electronics. Scaling at supply voltages of 1V and below also implies a rising level of stress which drives aging effects that reduce switching speed and the expected life time. Additionally, vulnerability from particle radiation is increased. Hence, fault detection and on- line correction become a must for many applications. However, not only fault tolerance but self-awareness becomes also an advantage. Provided that by being aware of its own healthy state allow optimized configurations regarding system operation modes and configurable hardware mechanism. This paper shows a preliminary work in a configurable circuit and explores its configuration possibilities when integrated into a complete system.}, language = {en} } @misc{JenihhinHamdiouiSonzaReordaetal., author = {Jenihhin, Maksim and Hamdioui, Said and Sonza-Reorda, Matteo and Krstic, Milos and Langend{\"o}rfer, Peter and Sauer, Christian and Klotz, Anton and H{\"u}bner, Michael and Nolte, J{\"o}rg and Vierhaus, Heinrich Theodor and Selimis, Georgions and Alexandrescu, Dan and Taouil, Mottaqiallah and Schrijen, Geert-Jan and Sterpone, Luca and Squillero, Giovanni and Dyka, Zoya and Raik, Jaan}, title = {RESCUE: Interdependent Challenges of Reliability, Security and Quality in Nanoelectronic Systems}, series = {ArXiv.org}, journal = {ArXiv.org}, pages = {7}, language = {en} } @misc{BrandaleroBeck, author = {Brandalero, Marcelo and Beck, Antonio Carlos Schneider}, title = {Potential of Using a Reconfigurable System on a Superscalar Core for ILP Improvements}, series = {2014 Brazilian Symposium on Computing Systems Engineering}, journal = {2014 Brazilian Symposium on Computing Systems Engineering}, isbn = {978-1-4799-8559-3}, issn = {2324-7894}, doi = {10.1109/SBESC.2014.19}, pages = {43 -- 48}, language = {en} } @misc{CapellaBrandaleroJunioretal., author = {Capella, Fernanda M. and Brandalero, Marcelo and Junior, Jair Fajardo and Beck, Antonio Carlos Schneider and Carro, Luigi}, title = {A Multiple-ISA Reconfigurable Architecture}, series = {2013 III Brazilian Symposium on Computing Systems Engineering (SBESC), Dec. 4 2013 to Dec. 8 2013, Niteroi, Rio De Janeiro, Brazil}, volume = {1}, journal = {2013 III Brazilian Symposium on Computing Systems Engineering (SBESC), Dec. 4 2013 to Dec. 8 2013, Niteroi, Rio De Janeiro, Brazil}, doi = {10.1109/SBESC.2013.23}, pages = {71 -- 76}, language = {en} } @misc{GuptaGuptaKhanetal., author = {Gupta, Neha and Gupta, Tanisha and Khan, Sajid and Vishwakarma, Abhinav and Vishvakarma, Santosh Kumar}, title = {Low Leakage Highly Stable Robust Ultra Low Power 8T SRAM Ce}, series = {VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4-6, 2019, Revised Selected Papers}, journal = {VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4-6, 2019, Revised Selected Papers}, publisher = {Springer}, address = {Singapore}, isbn = {978-981-32-9766-1}, doi = {10.1007/978-981-32-9767-8_53}, pages = {643 -- 654}, language = {en} } @misc{KhanGuptaVishwakarmaetal., author = {Khan, Sajid and Gupta, Neha and Vishwakarma, Abhinav and Chouhan, Shailesh Singh and Pandey, Jai Gopal and Vishvakarma, Santosh Kumar}, title = {Dual-Edge Triggered Light Weight Implementation of AES for IoT Security}, series = {VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4-6, 2019, Revised Selected Papers}, journal = {VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4-6, 2019, Revised Selected Papers}, publisher = {Springer}, address = {Singapore}, isbn = {978-981-32-9766-1}, doi = {10.1007/978-981-32-9767-8_26}, pages = {298 -- 307}, language = {en} } @misc{BeoharGopalRajputetal., author = {Beohar, Ankur and Gopal, Raut and Rajput, Gunjan and Vishwakarma, Abhinav and Ambika Prasad, Shah and Bhupendra, Singh Renewa and Vishvakarma, Santosh Kumar}, title = {Compact Spiking Neural Network System with SiGe based Cylindrical Tunneling Transistor for Low Power Applications}, series = {VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4-6, 2019, Revised Selected Papers}, journal = {VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4-6, 2019, Revised Selected Papers}, publisher = {Springer}, address = {Singapore}, isbn = {978-981-32-9766-1}, doi = {10.1007/978-981-32-9767-8_54}, pages = {655 -- 663}, language = {en} } @misc{VishwakarmaTripathi, author = {Vishwakarma, Abhinav and Tripathi, G. S.}, title = {A Microwave Triple-Band Bandpass Filter using parallel Stepped Impedance Resonator}, series = {International Journal of creative Research}, volume = {6}, journal = {International Journal of creative Research}, number = {1}, issn = {2320-2882}, pages = {1217 -- 1219}, language = {en} }