@phdthesis{Pflanz, author = {Pflanz, Matthias}, title = {Online error detection and fast recover techniques for dependable embedded processors}, publisher = {Springer}, address = {Berlin [u.a.]}, isbn = {3-540-43318-X}, pages = {XII, 126}, language = {en} } @inproceedings{SchoelzelBachmannVierhaus, author = {Sch{\"o}lzel, Mario and Bachmann, Peter and Vierhaus, Heinrich Theodor}, title = {Application Specific Processor Design for Digital Signal Processing}, series = {Signal processing '2004, workshop proceedings, Poznan, 24th September 2004}, booktitle = {Signal processing '2004, workshop proceedings, Poznan, 24th September 2004}, editor = {Dabrowski, Adam}, publisher = {Poznan Univ. of Technology}, address = {Poznan}, isbn = {83-913251-5-6}, pages = {7 -- 15}, language = {en} } @inproceedings{PflanzVierhaus, author = {Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {Control Signal Protection - A New Challenge for High Performance Processors}, series = {Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece}, booktitle = {Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {0-7695-1968-7}, pages = {173 -- 177}, language = {en} } @inproceedings{VierhausRossmann, author = {Vierhaus, Heinrich Theodor and Rossmann, Helmut}, title = {Power-Timing Optimierung f{\"u}r Zellen-basierte Digitalschaltungen in Submikron-Technologien}, series = {Informatik 2005 - Informatik LIVE!, Beitr{\"a}ge der 35. Jahrestagung der Gesellschaft f{\"u}r Informatik e.V., 19. - 22. September 2005 in Bonn, Bd. 1}, booktitle = {Informatik 2005 - Informatik LIVE!, Beitr{\"a}ge der 35. Jahrestagung der Gesellschaft f{\"u}r Informatik e.V., 19. - 22. September 2005 in Bonn, Bd. 1}, publisher = {Gesellschaft f{\"u}r Informatik}, address = {Bonn}, isbn = {3-88579-396-2}, pages = {339 -- 343}, language = {de} } @misc{VierhausPflanzMohaupt, author = {Vierhaus, Heinrich Theodor and Pflanz, Matthias and Mohaupt, Thomas}, title = {Design and Architecture of Dependable Computer-Based Systems}, language = {en} } @inproceedings{GalkePflanzVierhaus, author = {Galke, Christian and Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {A Test Processor Concept for Systems-on-a-Chip}, language = {en} } @inproceedings{GalkeGrabowVierhaus, author = {Galke, Christian and Grabow, M. and Vierhaus, Heinrich Theodor}, title = {Kompaktierung von Testmustern f{\"u}r den Test von SoCs mittels einer Testprozessor-Architektur}, language = {de} } @inproceedings{GalkeGrabowVierhaus, author = {Galke, Christian and Grabow, M. and Vierhaus, Heinrich Theodor}, title = {Test Pattern De-/Compaction for SoC Test in a Test Processor Environment}, language = {en} } @inproceedings{GalkeGrabowVierhaus, author = {Galke, Christian and Grabow, M. and Vierhaus, Heinrich Theodor}, title = {Perspectives of combining online and offline test technology for dependable systems on a chip}, series = {Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece}, booktitle = {Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece}, publisher = {IEEE}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {0-7695-1968-7}, pages = {183 -- 187}, language = {en} } @inproceedings{KretzschmarGalkeVierhaus, author = {Kretzschmar, Claudia and Galke, Christian and Vierhaus, Heinrich Theodor}, title = {A Hierarchical Self Test Scheme for SoCs}, language = {en} } @inproceedings{KotheGalkeVierhaus, author = {Kothe, Ren{\´e} and Galke, Christian and Vierhaus, Heinrich Theodor}, title = {A Multi-Purpose Concept for SoC Self Test Including Diagnostics Features}, series = {11th IEEE International On-Line Testing Symposium, 2005, IOLTS 2005, 6 - 8 July 2005, [Saint Raphael, French Riviera, France, proceedings]}, booktitle = {11th IEEE International On-Line Testing Symposium, 2005, IOLTS 2005, 6 - 8 July 2005, [Saint Raphael, French Riviera, France, proceedings]}, publisher = {Los Alamitos, Calif. [u.a.]}, address = {IEEE Computer Society}, isbn = {0-7695-2406-0}, pages = {241 -- 246}, language = {en} } @inproceedings{GalkeKotheVierhaus, author = {Galke, Christian and Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Logic Self Repair}, series = {Workshop proceedings, ARCS '06, 19th International Conference on Architecture of Computing Systems, March 16, 2006, Frankfurt am Main}, booktitle = {Workshop proceedings, ARCS '06, 19th International Conference on Architecture of Computing Systems, March 16, 2006, Frankfurt am Main}, publisher = {Ges. f{\"u}r Informatik}, address = {Bonn}, isbn = {978-3-88579-175-1}, pages = {36 -- 44}, language = {en} } @inproceedings{KrautzPflanzVierhausetal., author = {Krautz, U. and Pflanz, Matthias and Vierhaus, Heinrich Theodor and Jacobi, C. and Tast, H. W.}, title = {Evaluating Coverage of Error Detection Logic for Soft Errors using Formal Methods}, series = {Design, automation and test in Europe, Munich, Germany, March 6 - 10, 2006, proceedings, vol. 1}, booktitle = {Design, automation and test in Europe, Munich, Germany, March 6 - 10, 2006, proceedings, vol. 1}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {3-9810801-1-4}, pages = {176 -- 181}, language = {en} } @phdthesis{Misera, author = {Misera, Silvio Andre}, title = {Simulation von Fehlern in digitalen Schaltungen mit SystemC}, url = {http://nbn-resolving.de/urn:nbn:de:kobv:co1-opus-4063}, language = {de} } @inproceedings{PflanzWaltherVierhaus, author = {Pflanz, Matthias and Walther, Karsten and Vierhaus, Heinrich Theodor}, title = {On-Line Built-In Self-Check Techniken f{\"u}r zuverl{\"a}ssige eingebettete Prozessoren mit hoher Konplexit{\"a}t}, editor = {Alt, J{\"u}rgen}, language = {de} } @inproceedings{PflanzWaltherVierhaus, author = {Pflanz, Matthias and Walther, Karsten and Vierhaus, Heinrich Theodor}, title = {On-line Error Detection Techniques for Depandable Embedded Processors with High Complexity}, series = {Proceedings, Seventh International On-Line Testing Workshop, 9 - 11 July 2001, Giardini Naxos, Taormina, Italy}, booktitle = {Proceedings, Seventh International On-Line Testing Workshop, 9 - 11 July 2001, Giardini Naxos, Taormina, Italy}, isbn = {0-7695-1290-9}, pages = {51 -- 53}, language = {en} } @misc{PflanzVierhaus, author = {Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {Online Check and Recovery Techniques for Depandable Embedded Processors}, series = {IEEE micro}, volume = {21}, journal = {IEEE micro}, number = {5}, issn = {0272-1732}, pages = {24 -- 40}, language = {en} } @incollection{GlaeserStamenkovićVierhaus, author = {Gl{\"a}ser, Uwe and Stamenković, Zoran and Vierhaus, Heinrich Theodor}, title = {Testing of Synchronous Sequential Digital Circuits}, series = {The Computer Engineering Handbook}, booktitle = {The Computer Engineering Handbook}, editor = {Oklobdzija, Vojin G.}, publisher = {CRC Press}, address = {Boca Raton [u.a.]}, isbn = {0-8493-0885-2}, pages = {45-1 -- 45-22}, language = {en} } @inproceedings{PflanzWaltherGalkeetal., author = {Pflanz, Matthias and Walther, Karsten and Galke, Christian and Vierhaus, Heinrich Theodor}, title = {On-Line Error Detection and Correction in Storage Elements with Cross-Parity Check}, series = {Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France}, booktitle = {Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {0-7695-1641-6}, pages = {69 -- 73}, language = {en} } @inproceedings{GalkePflanzVierhaus, author = {Galke, Christian and Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {On-Line Detection and Compensation of Transient Errors in Processor Pipeline Structurs}, series = {Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France}, booktitle = {Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {0-7695-1641-6}, pages = {S. 178}, language = {en} } @inproceedings{GalkeMohauptPflanzetal., author = {Galke, Christian and Mohaupt, Thomas and Pflanz, Matthias and Vierhaus, Heinrich Theodor}, title = {Hardware/Software Based Test Techniques for Systems on a Chip with Embedded Processors}, issn = {1437-7969}, language = {en} } @inproceedings{GalkePflanzHennigetal., author = {Galke, Christian and Pflanz, Matthias and Hennig, Hardy and Vierhaus, Heinrich Theodor}, title = {Hardware/Software basierter Selbsttest f{\"u}r System on a Chip (SOCs) mit eingebetteten Prozessoren}, editor = {Wagner, Ralph}, language = {de} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {HW / SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths}, series = {2010 Design, Automation \& Test in Europe Conference \& Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010}, booktitle = {2010 Design, Automation \& Test in Europe Conference \& Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4244-7054-9}, pages = {723 -- 728}, language = {en} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {Software-Based Self-Repair of Statically Scheduled Superscalar Data Paths}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Basic Architecture for Logic Self Repair}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Eingebaute Selbstreparatur zur Kompensation von Produktions- und Alterungsfehlern}, language = {de} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {M{\"o}glichkeiten und Grenzen der Software-basierten Selbstreparatur in statisch geplanten superskalaren Prozessorarchitekturen}, language = {de} } @inproceedings{OPUS4-7067, title = {IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011}, editor = {Vierhaus, Heinrich Theodor and Steininger, Andreas and Pawlak, A. and Kraemer, Rolf and Sch{\"o}lzel, Mario and Raik, Jaan}, isbn = {978-1-4244-9755-3}, pages = {464}, abstract = {Konferenzband, erstellt und gedruckt von BTU Cottbus, Rechte bei IEEE Computer Society}, language = {en} } @article{MiseraVierhaus, author = {Misera, Silvio Andre and Vierhaus, Heinrich Theodor}, title = {Simulated fault injections and their acceleration in system C}, language = {en} } @inproceedings{KoalVierhaus, author = {Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {Optimal Spare Utilization for Reliability and Men Litetime Improvement for Logic Built-in Self Repair}, series = {Proceedings, IEEE DDECS 2011, Cottbus}, booktitle = {Proceedings, IEEE DDECS 2011, Cottbus}, isbn = {978-1-4244-9753-9}, abstract = {Tagungsbeitrag DDECS 2011, Cottbus}, language = {en} } @inproceedings{UlbrichtSchoelzelKoaletal., author = {Ulbricht, Markus and Sch{\"o}lzel, Mario and Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {A New Hierarchical Built-In Test with On-Chip Diagnosis for VLIW Processors}, series = {IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011}, booktitle = {IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011}, isbn = {978-1-4244-9755-3}, pages = {143 -- 146}, abstract = {Tagungsbeitrag DDECS 2011, Cottbus}, language = {en} } @inproceedings{UlbrichtSchoelzelKoaletal., author = {Ulbricht, Markus and Sch{\"o}lzel, Mario and Koal, Tobias and Vierhaus, Heinrich Theodor}, title = {A New Hiararchical Built-In SElf Test with On-Chip Diagnosis for VLIW Processors}, abstract = {Tagungsbeitrag 23. ITG-Tagung "Test und Zuverl{\"a}ssigeit von Schaltungen und Systemen", Passau, 2011}, language = {en} } @inproceedings{KoalBeckVierhaus, author = {Koal, Tobias and Beck, Matthias-Stephan and Vierhaus, Heinrich Theodor}, title = {Rekonfigurierbare Logik f{\"u}r Ausbeute-Optimierung und Verschleiß-Kompensation}, abstract = {Tagungsbeitrag ITG-GI-GMM-Tagung "Zuverl{\"a}ssigeit und Entwurf", Hamburg, 2011}, language = {de} } @book{OPUS4-7041, title = {Design and test technology for dependable systems-on-chip}, publisher = {Information Science Reference}, address = {Hershay, Pa. [u.a.]}, isbn = {978-1-6096-0212-3}, pages = {XXVI, 550}, language = {en} } @inproceedings{KotheVierhausCoymetal., author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor and Coym, Torsten and Vermeiren, W. and Straube, B.}, title = {Embedded Self Repair by Transistor and Gate Level Reconfiguration}, series = {Design and Diagnostics of Electronic Circuits and systems, (DDECS 2006), Prag, April 2006}, booktitle = {Design and Diagnostics of Electronic Circuits and systems, (DDECS 2006), Prag, April 2006}, publisher = {IEEE}, isbn = {1-4244-0185-2}, pages = {208 -- 213}, language = {en} } @inproceedings{HabermannKotheVierhaus, author = {Habermann, Sven and Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Built-in Self Repair by Reconfiguration of FPGAs}, series = {Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006}, booktitle = {Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif [u.a.]}, isbn = {978-0-7695-2620-1}, pages = {187 -- 188}, language = {en} } @inproceedings{VickRossmannVierhaus, author = {Vick, Axel and Rossmann, Helmut and Vierhaus, Heinrich Theodor}, title = {Timing-Power-getriebener Layout-Entwurf f{\"u}r Zellen-basierte Digitalschaltungen}, series = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 9. ITG/GI/GMM Workshop, 20. - 22. Februar 2006, Dresden}, booktitle = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 9. ITG/GI/GMM Workshop, 20. - 22. Februar 2006, Dresden}, address = {Dresden}, pages = {61 -- 69}, language = {de} } @incollection{VierhausStamenković, author = {Vierhaus, Heinrich Theodor and Stamenković, Zoran}, title = {Test Technology for Sequential Circuits}, series = {The Computer Engineering Handbook, Part 1, Digital design and fabrication}, booktitle = {The Computer Engineering Handbook, Part 1, Digital design and fabrication}, editor = {Oklobdzija, Vojin G.}, edition = {2. edition}, publisher = {CRC Press}, address = {Boca Raton}, isbn = {978-0-8493-8602-2}, language = {en} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Flip-Flops and Scan Path Elements for Nanoelectronics}, series = {Proceedings, Design and Diagnostics of Electronic Circuits and Systems DDECS '07, Krakow, 11 - 13 April 2007}, booktitle = {Proceedings, Design and Diagnostics of Electronic Circuits and Systems DDECS '07, Krakow, 11 - 13 April 2007}, publisher = {IEEE}, isbn = {1-4244-1162-9}, pages = {6}, language = {en} } @inproceedings{MiseraSieber, author = {Misera, Silvio Andre and Sieber, Andr{\´e}}, title = {Hardware-nahe Fehlersimulation mit effektiven SystemC-Modellen}, series = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 10. GI/ITG/GMM-Workshop Modellierung und Verifikation}, booktitle = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 10. GI/ITG/GMM-Workshop Modellierung und Verifikation}, publisher = {Shaker}, address = {Aachen}, pages = {39 -- 48}, language = {de} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Reparaturfunktionen f{\"u}r Bus-Strukturen auf SoCs}, series = {Zuverl{\"a}ssigkeit und Entwurf, 1. GMM/GI/ITG-Fachtagung vom 26. bis 28. M{\"a}rz 2007 in M{\"u}nchen}, booktitle = {Zuverl{\"a}ssigkeit und Entwurf, 1. GMM/GI/ITG-Fachtagung vom 26. bis 28. M{\"a}rz 2007 in M{\"u}nchen}, editor = {Sattler, Sebastian}, publisher = {VDE-Verl.}, address = {Berlin [u.a.]}, isbn = {978-3-8007-3023-0}, pages = {77 -- 84}, language = {de} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {Repair Functions and Redundancy Management for Bus Structures}, series = {Workshop proceedings, ARCS 2007, 20th International Conference on Architecture of Computing Systems, March 15, 2007, Zurich, Switzerland}, booktitle = {Workshop proceedings, ARCS 2007, 20th International Conference on Architecture of Computing Systems, March 15, 2007, Zurich, Switzerland}, publisher = {VDE-Verlag}, address = {Berlin [u.a.]}, isbn = {978-3-8007-3015-5}, language = {en} } @inproceedings{KotheVierhaus, author = {Kothe, Ren{\´e} and Vierhaus, Heinrich Theodor}, title = {An Embedded Test Strategy for Global and Regiional Interconnects on SoCs}, series = {Signal processing '2006, workshop proceedings, Poznan, 29th September 2006}, booktitle = {Signal processing '2006, workshop proceedings, Poznan, 29th September 2006}, editor = {Dabrowski, Adam}, address = {Poznan}, isbn = {83-913251-7-2}, pages = {65 -- 70}, language = {en} } @inproceedings{MiseraBreitenfeldSieberetal., author = {Misera, Silvio Andre and Breitenfeld, Lars and Sieber, Andr{\´e} and Vierhaus, Heinrich Theodor}, title = {A Mixed Level Fault Simulation of VHDL and SystemC}, abstract = {Konferenzbeitrag EuroMicro Conference on Digital System Design (DSD 2006), Dubrovnik, September 2006}, language = {en} } @inproceedings{MiseraSieberBreitenfeldetal., author = {Misera, Silvio Andre and Sieber, Andr{\´e} and Breitenfeld, Lars and Vierhaus, Heinrich Theodor}, title = {Eine Mixed-Language-Fault-Simulation von VHDL- und SystemC-Modellen}, series = {Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006}, booktitle = {Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006}, publisher = {Fraunhofer-Institut}, address = {Dresden}, language = {de} } @inproceedings{KotheHabermannVierhausetal., author = {Kothe, Ren{\´e} and Habermann, Sven and Vierhaus, Heinrich Theodor and Coym, Torsten and Vermeiren, W. and Straube, B.}, title = {Selbstreparatur von Logik-Baugruppen in hochintegrieten Schaltungen- M{\"o}glichkeiten und Grenzen}, series = {Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006}, booktitle = {Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006}, publisher = {Fraunhofer-Institut}, address = {Dresden}, language = {de} } @inproceedings{Schoelzel, author = {Sch{\"o}lzel, Mario}, title = {Reduced Triple Modular Redundancy for Built-in Self Repair in VLIW Processors}, abstract = {Tagungsbeitrag IEEE SPA 2007, Poznan}, language = {en} } @inproceedings{MiseraRossmannVierhaus, author = {Misera, Silvio Andre and Rossmann, Helmut and Vierhaus, Heinrich Theodor}, title = {Timing / Power Optimization for Digital Logic Based on Standard Cells}, abstract = {Konferenzbeitrag Euro Micro Conference on Digital System Design (DSD) 2007, L{\"u}beck,Sept. 2007}, language = {en} } @inproceedings{SieberMiseraVierhaus, author = {Sieber, Andr{\´e} and Misera, Silvio Andre and Vierhaus, Heinrich Theodor}, title = {Fault Injection Techniques and their Acccelerated Simulation in SystemC}, series = {Proceedings, 10th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2007, 29 - 31 August 2007, L{\"u}beck, Germany}, booktitle = {Proceedings, 10th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2007, 29 - 31 August 2007, L{\"u}beck, Germany}, publisher = {IEEE Computer Society}, address = {Los Alamitos, Calif. [u.a.]}, isbn = {978-0-7695-2978-3}, pages = {587 -- 595}, language = {en} } @inproceedings{KoalScheitVierhaus, author = {Koal, Tobias and Scheit, Daniel and Vierhaus, Heinrich Theodor}, title = {Schwachstellen und Engp{\"a}sse bei Verfahren zur Fehlerkompensation und Selbstreparatur f{\"u}r hochintegrierte Schaltungen}, series = {Zuverl{\"a}ssigkeit und Entwurf, 4. GMM/GI/ITG-Fachtagung vom 13. bis 15. September 2010 in Wildbad Kreuth}, booktitle = {Zuverl{\"a}ssigkeit und Entwurf, 4. GMM/GI/ITG-Fachtagung vom 13. bis 15. September 2010 in Wildbad Kreuth}, publisher = {VDE-Verlag}, address = {Berlin [u.a.]}, isbn = {978-3-8007-3299-9}, pages = {57 -- 62}, language = {de} }