@misc{HoffmannMikaloYfantisetal., author = {Hoffmann, Patrick and Mikalo, Ricardo Pablo and Yfantis, Alexandros D. and Batchelor, David R. and Appel, G{\"u}nter and Schmeißer, Dieter}, title = {A Spectro-Microscopic Approach for Thin Film Analysis Grain Boundaries in mc-Si and Sn/SnO2 Nano Particles}, series = {Microchimica Acta}, volume = {136}, journal = {Microchimica Acta}, number = {3-4}, issn = {1436-5073}, pages = {109 -- 113}, language = {en} } @misc{MuellerPaloumpaHenkeletal., author = {M{\"u}ller, Klaus and Paloumpa, Ioanna and Henkel, Karsten and Schmeißer, Dieter}, title = {A polymer high-k dielectric insulator for organic field effect Transistors}, series = {Journal of Applied Physics}, volume = {98}, journal = {Journal of Applied Physics}, number = {5}, issn = {0021-8979}, pages = {S. 056104}, language = {en} } @article{SchmittZhuSchmeisseretal., author = {Schmitt, Andrew L. and Zhu, Lei and Schmeißer, Dieter and Himpsel, Franz J. and Jin, Song}, title = {Metallic Single-Crystal CoSi Nanowires via Chemical Vapor Deposition of Single-Source Precursor}, language = {en} } @article{SchmittBiermanSchmeisseretal., author = {Schmitt, Andrew L. and Bierman, Matthew J. and Schmeißer, Dieter and Himpsel, Franz J. and Jin, Song}, title = {Synthesis and Properties of Single-Crystal FeSi Nanowires}, language = {en} } @misc{SchmeisserHenkelMuelleretal., author = {Schmeißer, Dieter and Henkel, Karsten and M{\"u}ller, Klaus and Tallarida, Massimo}, title = {Interface Reactions in Ultrathin Functional Dielectric Films}, language = {en} } @misc{LazarevaKovalMuelleretal., author = {Lazareva, I. and Koval, Yuri and M{\"u}ller, P. and M{\"u}ller, Klaus and Henkel, Karsten and Schmeißer, Dieter}, title = {Interface screening and imprint in pol(vinylidene fluoride/trifluoroethylene) ferroelectric field effect transistors}, language = {en} } @incollection{MuellerBurkovMandaletal., author = {M{\"u}ller, Klaus and Burkov, Yevgen and Mandal, Dipankar and Henkel, Karsten and Paloumpa, Ioanna and Goryachko, Andriy and Schmeißer, Dieter}, title = {Microscopic and spectroscopic characterization of interfaces and dielectric layers for OFET devices}, series = {Organic Electronics : structural and Electronic Properties of OFETs}, booktitle = {Organic Electronics : structural and Electronic Properties of OFETs}, editor = {W{\"o}ll, Christof}, publisher = {Wiley-VCH}, address = {Weinheim}, isbn = {978-3-527-40810-8}, pages = {445 -- 468}, language = {en} } @misc{TallaridaKaravaevSchmeisser, author = {Tallarida, Massimo and Karavaev, Konstantin and Schmeißer, Dieter}, title = {HfO2/Si interface formation in atomic layer deposition films: an in situ investigation}, language = {en} } @article{HoffmannMikaloSchmeisser, author = {Hoffmann, Patrick and Mikalo, Ricardo Pablo and Schmeißer, Dieter}, title = {Si(001) surface oxidation by N2O}, abstract = {Ultra-thin homogeneous oxynitride films are prepared on Si(001). The Si surface is cleaned in UHV by heating (flashing) and is exposed to different pressures of N2Oat altered temperatures. Thus oxynitride layers of different thickness and different properties are grown depending on the N2O pressure and the Si temperature. This isillustrated by a schematic diagram. The properties of the different oxynitride layers were studied by a combined photoemission electron microscopy (PEEM) andphotoelectron spectroscopy (PES) investigation using highly monochromatic synchrotron radiation. The amount of oxygen and nitrogen incorporated in the oxynitridelayers is determined from the PES measurements. The typical surface morphology for different preparation conditions is shown in PEEM images.}, language = {en} } @article{SchmeisserHoffmann, author = {Schmeißer, Dieter and Hoffmann, Patrick}, title = {Characterisation of the PrOx/Si(001) Interface by Photoelectron Spectroscopy}, language = {en} } @misc{HenkelSohalTorcheetal., author = {Henkel, Karsten and Sohal, Rakesh and Torche, Mohamed and Paloumpa, Ioanna and M{\"u}ller, Klaus and Hoffmann, Patrick and Schmeißer, Dieter}, title = {Grenzfl{\"a}chenoptimierung f{\"u}r funktionale D{\"u}nnschichtsysteme: Oxide und Polymere}, series = {Forum der Forschung}, volume = {9}, journal = {Forum der Forschung}, number = {18}, issn = {0947-6989}, pages = {49 -- 56}, language = {de} } @misc{SchmeisserHimpselDenlingeretal., author = {Schmeißer, Dieter and Himpsel, Franz J. and Denlinger, Jonathan D. and Ederer, David L.}, title = {Resonante Photoemission an der Cu2p Kante von Cu und CuO}, series = {Forum der Forschung}, volume = {9}, journal = {Forum der Forschung}, number = {18}, issn = {0947-6989}, pages = {57 -- 62}, language = {de} } @article{MuellerBurkovSchmeisser, author = {M{\"u}ller, Klaus and Burkov, Yevgen and Schmeißer, Dieter}, title = {Photo-Emission-Electron-Microscopy for Characterization of an Operating Organic Electronic Device}, language = {en} } @article{Schmeisser, author = {Schmeißer, Dieter}, title = {The Consequences of anisotropic Diffusion and Noise: PEEM at the CO Oxidation Reaction on Stepped Ir(111) Surfaces}, language = {en} } @misc{MuellerHenkelMandaletal., author = {M{\"u}ller, Klaus and Henkel, Karsten and Mandal, Dipankar and Seime, Bernd and Paloumpa, Ioanna and Schmeißer, Dieter}, title = {Spin coated organic ferroelectric films for non volatile memories}, language = {en} } @misc{SeifarthSchubertGiussanietal., author = {Seifarth, Olaf and Schubert, Markus Andreas and Giussani, A. and Klenov, D. O. and Schmeißer, Dieter and Schroeder, Thomas}, title = {Single crystalline Pr2-xYxO3 (x=0-2)dielectrics on Si with tailored electronic and crystallographic structure}, volume = {108}, number = {10}, issn = {1089-7550}, pages = {103709}, language = {en} } @misc{MandalHenkelMuelleretal., author = {Mandal, Dipankar and Henkel, Karsten and M{\"u}ller, Klaus and Schmeißer, Dieter}, title = {Band gab determination of P(VDF-TrFE) copolymer film by electron energy loss spectroscopy}, language = {en} } @misc{KolanekTallaridaKaravaevetal., author = {Kolanek, Krzysztof and Tallarida, Massimo and Karavaev, Konstantin and Schmeißer, Dieter}, title = {In situ studies of the atomic layer deposition of thin HfO2 dielectrics by ultra high vacuum atomic force microscope}, language = {en} } @article{SchmeisserSeiboldReif, author = {Schmeißer, Dieter and Seibold, G{\"o}tz and Reif, J{\"u}rgen}, title = {Nano-scaled Dielectric Barriers (NanoDieB) for CMOS compatible Si-technologies}, series = {Forum der Forschung}, journal = {Forum der Forschung}, number = {19}, issn = {0947-6989}, pages = {131 -- 136}, language = {en} } @inproceedings{StarzykTallaridaSchmeisser, author = {Starzyk, Łukasz and Tallarida, Massimo and Schmeißer, Dieter}, title = {Band alignment of high-k/SiO2/Si stacks incorporating Zr and Al oxides prepared by atomic layer deposition}, language = {en} }