@misc{MuschHuebnerGebhardtetal., author = {Musch, Thomas and H{\"u}bner, Michael and Gebhardt, Patrick and Abrolat, Jan Christoph and Gevers, Martin and Vogt, Michael}, title = {Tomografische Verfahren f{\"u}r intelligente Sensoren in der Prozessautomatisierung}, series = {atp plus : das Magazin der Automatisierungstechnik : Sonderausgabe}, volume = {1}, journal = {atp plus : das Magazin der Automatisierungstechnik : Sonderausgabe}, issn = {2510-3911}, pages = {34 -- 41}, language = {de} } @misc{FernandesdosSantosBrandaleroRechJunioretal., author = {Fernandes dos Santos, Fernando and Brandalero, Marcelo and Rech Junior, Rubens Luiz and H{\"u}bner, Michael and Rech, Paolo and Sullivan, Michael and Martins Basso, Pedro and Carro, Luigi}, title = {Reduced Precision DWC: an Efficient Hardening Strategy for Mixed-Precision Architectures}, series = {IEEE Transactions on Computers}, volume = {71(2022)}, journal = {IEEE Transactions on Computers}, number = {3}, issn = {0018-9340}, doi = {10.1109/TC.2021.3058872}, pages = {573 -- 586}, abstract = {Duplication with Comparison (DWC) is an effective software-level solution to improve the reliability of computing devices. However, it introduces significant performance and energy consumption overheads that could render the protected application unsuitable for high-performance computing or real-time safety-critical applications. Modern computing architectures offer the possibility to execute operations in various precisions, and recent NVIDIA GPUs even feature dedicated functional units for computing with programmable accuracy. In this work, we propose Reduced-Precision Duplication with Comparison (RP-DWC) as a means to leverage the available mixed-precision hardware resources to implement software-level fault detection with reduced overheads. We discuss the benefits and challenges associated with RP-DWC and show that the intrinsic difference between the mixed-precision copies allows for the detection of most, but not all, errors. However, as the undetected faults are the ones that fall into the difference between precisions, they are the ones that produce a much smaller impact in the application output. We investigate, through fault injection and beam experiment campaigns, using three microbenchmarks and two real applications on Volta GPUs, RP-DWC impact into fault detection, performance, and energy consumption. We show that RP-DWC achieves an excellent coverage (up to 86\%) with minimal overheads (0.1\% time and 24\% energy consumption overhead).}, language = {en} } @misc{FrickeBrandaleroLiehretal., author = {Fricke, Florian and Brandalero, Marcelo and Liehr, Sascha and Kern, Simon and Meyer, Klas and Kowarik, Stefan and Hierzegger, Robin and Westerdick, Stephan and Maiwald, Michael and H{\"u}bner, Michael}, title = {Artificial Intelligence for Mass Spectrometry and Nuclear Magnetic Resonance Spectroscopy Using a Novel Data Augmentation Method}, series = {IEEE Transactions on Emerging Topics in Computing}, volume = {10}, journal = {IEEE Transactions on Emerging Topics in Computing}, number = {1}, issn = {2168-6750}, doi = {10.1109/TETC.2021.3131371}, pages = {87 -- 98}, language = {en} } @misc{SilvaKorolJordanetal., author = {Silva, Raul and Korol, Guilherme dos Santos and Jordan, Michael Guilherme and Brandalero, Marcelo and H{\"u}bner, Michael and Pereira, Monica and Rutzig, Mateus Beck and Beck, Antonio Carlos Schneider}, title = {A Management Technique for Concurrent Access to a Reconfigurable Accelerator}, series = {33rd Symposium on Integrated Circuits and Systems Design (SBCCI)}, journal = {33rd Symposium on Integrated Circuits and Systems Design (SBCCI)}, isbn = {978-1-7281-9625-1}, doi = {10.1109/SBCCI50935.2020.9189927}, pages = {6}, language = {en} } @misc{AlKadiJanssenMoriAlvesdaSilvaetal., author = {Al Kadi, Muhammed Soubhi and Janßen, Benedikt and Mori Alves da Silva, Jones Yudi and H{\"u}bner, Michael}, title = {General-Purpose Computing with Soft GPUs on FPGAs}, series = {ACM Transactions on Reconfigurable Technology and Systems}, volume = {11}, journal = {ACM Transactions on Reconfigurable Technology and Systems}, number = {1}, issn = {1936-7406}, doi = {10.1145/3173548}, pages = {22}, language = {en} } @misc{MoenksDoerksenLohwegetal., author = {M{\"o}nks, Uwe and D{\"o}rksen, Helene and Lohweg, Volker and H{\"u}bner, Michael}, title = {Information Fusion of Conflicting Input Data}, series = {Sensors}, volume = {16}, journal = {Sensors}, number = {11}, issn = {1424-8220}, pages = {37}, language = {en} } @misc{SchwiegelshohnOssovskiHuebner, author = {Schwiegelshohn, Fynn and Ossovski, Eugen and H{\"u}bner, Michael}, title = {A resampling method for parallel particle filter architectures}, series = {Microprocessors \& Microsystems}, volume = {47, B}, journal = {Microprocessors \& Microsystems}, issn = {0141-9331}, doi = {10.1016/j.micpro.2016.07.017}, pages = {314 -- 320}, language = {en} } @misc{GrimmHuebnerLettnin, author = {Grimm, Tomas and H{\"u}bner, Michael and Lettnin, Djones}, title = {A Survey on Formal Verification Techniques for Safety-Critical Systems-on-Chip}, series = {Electronics}, volume = {7}, journal = {Electronics}, number = {6}, issn = {2079-9292}, doi = {10.3390/electronics7060081}, pages = {24}, language = {en} } @misc{GlockHillenbrandHuebner, author = {Glock, Thomas and Hillenbrand, Martin and H{\"u}bner, Michael}, title = {Smarte Sensoren in der Feldebene}, series = {atp edition}, volume = {57}, journal = {atp edition}, number = {11}, issn = {2364-3137}, pages = {32 -- 42}, language = {de} } @misc{KorteHuebner, author = {Korte, Jan and H{\"u}bner, Michael}, title = {Reactive current compensation method for PFC applications based on SOGI-PLL}, series = {VLSI Circuits and Systems Letter}, volume = {5}, journal = {VLSI Circuits and Systems Letter}, number = {2}, language = {en} } @misc{SegabinazziFerreiraGeorgeChenetal., author = {Segabinazzi Ferreira, Raphael and George, Nevin and Chen, Junchao and H{\"u}bner, Michael and Krstic, Milos and Nolte, J{\"o}rg and Vierhaus, Heinrich Theodor}, title = {Configurable Fault Tolerant Circuits and System Level Integration for Self-Awareness}, series = {Proceedings of the Work in Progress Session held in connection with SEAA 2019, the 45th EUROMICRO Conference on Software Engineering and Advanced Applications and DSD 2019, the 22nd EUROMICRO Conference on Digital System Design}, journal = {Proceedings of the Work in Progress Session held in connection with SEAA 2019, the 45th EUROMICRO Conference on Software Engineering and Advanced Applications and DSD 2019, the 22nd EUROMICRO Conference on Digital System Design}, publisher = {SEA-Publications}, address = {Kallithea, Chalkidiki (Greece)}, isbn = {978-3-902457-54-7}, doi = {10.26127/BTUOpen-5050}, pages = {2}, abstract = {Scaling minimum features of ICs down to the 10nm- area and below has allowed high integration rates in electronics. Scaling at supply voltages of 1V and below also implies a rising level of stress which drives aging effects that reduce switching speed and the expected life time. Additionally, vulnerability from particle radiation is increased. Hence, fault detection and on- line correction become a must for many applications. However, not only fault tolerance but self-awareness becomes also an advantage. Provided that by being aware of its own healthy state allow optimized configurations regarding system operation modes and configurable hardware mechanism. This paper shows a preliminary work in a configurable circuit and explores its configuration possibilities when integrated into a complete system.}, language = {en} } @misc{JenihhinHamdiouiSonzaReordaetal., author = {Jenihhin, Maksim and Hamdioui, Said and Sonza-Reorda, Matteo and Krstic, Milos and Langend{\"o}rfer, Peter and Sauer, Christian and Klotz, Anton and H{\"u}bner, Michael and Nolte, J{\"o}rg and Vierhaus, Heinrich Theodor and Selimis, Georgions and Alexandrescu, Dan and Taouil, Mottaqiallah and Schrijen, Geert-Jan and Sterpone, Luca and Squillero, Giovanni and Dyka, Zoya and Raik, Jaan}, title = {RESCUE: Interdependent Challenges of Reliability, Security and Quality in Nanoelectronic Systems}, series = {ArXiv.org}, journal = {ArXiv.org}, pages = {7}, language = {en} } @misc{FrickeHoffmannMahmoodetal., author = {Fricke, Florian and Hoffmann, Javier Eduardo and Mahmood, Safdar and H{\"u}bner, Michael}, title = {A Tool to Ease De­sign-Space-Ex­plo­ra­ti­on Using the Ten­si­li­ca LX7 ASIP}, series = {CDN­Li­ve EMEA 2019}, journal = {CDN­Li­ve EMEA 2019}, language = {en} } @misc{HoffmannKuschnerusJonesetal., author = {Hoffmann, Javier Eduardo and Kuschnerus, Dirk and Jones, Trevor and H{\"u}bner, Michael}, title = {Towards a Safety and Energy Aware protocol for Wireless Communication}, series = {13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Lille}, journal = {13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Lille}, publisher = {Piscataway, NJ}, address = {IEEE}, isbn = {978-1-5386-7957-9}, doi = {10.1109/ReCoSoC.2018.8449380}, pages = {6}, language = {en} } @misc{KaestnerGuzmanJan­ssenetal., author = {K{\"a}stner, Florian and Guzman, Osvaldo Navarro and Jan­ßen, Be­ne­dikt and Hoffmann, Javier Eduardo and H{\"u}bner, Michael}, title = {Analysis of Hardware Implementations to Accelerate Convolutional and Recurrent Neuronal Net­works}, series = {In­ter­na­tio­nal Jour­nal on Ad­van­ces in Soft­ware}, volume = {10}, journal = {In­ter­na­tio­nal Jour­nal on Ad­van­ces in Soft­ware}, number = {3/4}, publisher = {IARIA}, issn = {1942-2628}, pages = {308 -- 323}, language = {en} } @misc{HoffmannGuzmanKaestneretal., author = {Hoffmann, Javier Eduardo and Guzman, Osvaldo Navarro and K{\"a}stner, Florian and Jan­ßen, Be­ne­dikt and H{\"u}bner, Michael}, title = {A survey on CNN and RNN implementations}, series = {PE­SA­RO 2017, The Seventh In­ter­na­tio­nal Con­fe­rence on Per­for­mance, Sa­fe­ty and Ro­bust­ness in Com­plex Sys­tems and Ap­p­li­ca­ti­ons}, journal = {PE­SA­RO 2017, The Seventh In­ter­na­tio­nal Con­fe­rence on Per­for­mance, Sa­fe­ty and Ro­bust­ness in Com­plex Sys­tems and Ap­p­li­ca­ti­ons}, publisher = {IARIA}, address = {Wilmington, DE, USA}, isbn = {978-1-61208-549-4}, pages = {33 -- 39}, language = {en} } @misc{GuzmanMoriHoffmannetal., author = {Guzman, Osvaldo Navarro and Mori, Jones and Hoffmann, Javier Eduardo and Stuckmann, Fabian and H{\"u}bner, Michael}, title = {A machine learning methodology for cache recommendation}, series = {Applied Reconfigurable Computing : 13th International Symposium, ARC 2017, Delft, The Netherlands, April 3-7, 2017, Proceedings}, journal = {Applied Reconfigurable Computing : 13th International Symposium, ARC 2017, Delft, The Netherlands, April 3-7, 2017, Proceedings}, publisher = {Springer}, address = {Cham}, isbn = {978-3-319-56258-2}, issn = {0302-9743}, doi = {10.1007/978-3-319-56258-2_27}, pages = {311 -- 322}, language = {en} } @misc{VorosStanHuebneretal., author = {Voros, Nikolaos and Stan, Mircea and H{\"u}bner, Michael and Keramidas, Georgios}, title = {VLSI for Next Generation CE}, series = {EEE Consumer Electronics Magazine}, volume = {9}, journal = {EEE Consumer Electronics Magazine}, number = {3}, issn = {2162-2248}, doi = {10.1109/MCE.2019.2959747}, pages = {88 -- 89}, language = {en} } @misc{NavarroYudiHoffmannetal., author = {Navarro, Osvaldo and Yudi, Jones and Hoffmann, Javier Eduardo and Hernandez, Hector Gerardo Mu{\~n}oz and H{\"u}bner, Michael}, title = {A Machine Learning Methodology for Cache Memory Design Based on Dynamic Instructions}, series = {ACM Transactions on Embedded Computing Systems}, volume = {19}, journal = {ACM Transactions on Embedded Computing Systems}, number = {2}, issn = {1539-9087}, doi = {10.1145/3376920}, pages = {20}, language = {en} } @misc{FrickeMahmoodHoffmannetal., author = {Fricke, Florian and Mahmood, Safdar and Hoffmann, Javier Eduardo and Ali, Muhammad and Shahin, Keyvan and H{\"u}bner, Michael and G{\"o}hringer, Diana}, title = {Domain Adaptive Processor Architectures}, series = {Kommunikation und Bildverarbeitung in der Automation}, journal = {Kommunikation und Bildverarbeitung in der Automation}, isbn = {978-3-662-59895-5}, issn = {2522-8579}, doi = {10.1007/978-3-662-59895-5_23}, pages = {315 -- 330}, language = {en} } @misc{MahmoodRettkowskiShallufaetal., author = {Mahmood, Safdar and Rettkowski, Jens and Shallufa, Arij and H{\"u}bner, Michael and G{\"o}hringer, Diana}, title = {IP Core Identification in FPGA Configuration Files using Machine Learning Techniques}, series = {IEEE 9th International Conference on Consumer Electronics, Berlin, 8-11 Sept. 2019}, journal = {IEEE 9th International Conference on Consumer Electronics, Berlin, 8-11 Sept. 2019}, isbn = {978-1-7281-2745-3}, issn = {2166-6822}, doi = {10.1109/ICCE-Berlin47944.2019.8966236}, pages = {6}, language = {en} } @misc{RettkowskiMahmoodShallufaetal., author = {Rettkowski, Jens and Mahmood, Safdar and Shallufa, Arij and H{\"u}bner, Michael and G{\"o}hringer, Diana}, title = {Inspection of Partial Bitstreams for FPGAs Using Artificial Neural Networks}, series = {IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)}, journal = {IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)}, isbn = {978-1-7281-3510-6}, doi = {10.1109/IPDPSW.2019.00023}, pages = {4}, language = {en} } @misc{FrickeWernerShahinetal., author = {Fricke, Florian and Werner, Andr{\´e} and Shahin, Keyvan and Werner, Florian and H{\"u}bner, Michael}, title = {Automatic Tool-Flow for Mapping Applications to an Application-Specific CGRA Architecture}, series = {IEEE International Symposium on Parallel and Distributed Processing, Workshops and Phd Forum (IPDPSW)}, journal = {IEEE International Symposium on Parallel and Distributed Processing, Workshops and Phd Forum (IPDPSW)}, isbn = {978-1-7281-3510-6}, doi = {10.1109/IPDPSW.2019.00033}, pages = {147 -- 154}, language = {en} } @misc{GeorgeHuebnerVierhaus, author = {George, Nevin and H{\"u}bner, Michael and Vierhaus, Heinrich Theodor}, title = {From Fault Tolerance to Error Resilience: Co-Designing for Self-Awareness}, series = {Proc. PhD Forum of the 9th BELAS Summer School, IHP, Frankfurt/Oder}, journal = {Proc. PhD Forum of the 9th BELAS Summer School, IHP, Frankfurt/Oder}, pages = {2}, language = {en} } @misc{SegabinazziFerreiraNolteVargasetal., author = {Segabinazzi Ferreira, Raphael and Nolte, J{\"o}rg and Vargas, Fabian and George, Nevin and H{\"u}bner, Michael}, title = {Run-time Hardware Reconfiguration of Functional Units to Support Mixed-Critical Applications}, series = {IEEE Latin American Test Symposium (LATS), Macei{\´o}, Brazil}, journal = {IEEE Latin American Test Symposium (LATS), Macei{\´o}, Brazil}, doi = {10.1109/LATS49555.2020.9093692}, pages = {6}, language = {en} } @misc{AntonopoulosKeramidasVorosetal., author = {Antonopoulos, Christos and Keramidas, Georgios and Voros, Nikolaos and H{\"u}bner, Michael and Schwiegelshohn, Fynn and G{\"o}hringer, Diana and Dagioglou, Maria and Stavrinos, Georgios and Konstantopoulos, Stasinos and Karkaletsis, Vangelis}, title = {Toward an ICT-Based Service Oriented Health Care Paradigm}, series = {IEEE Consumer Electronics Magazine}, volume = {9}, journal = {IEEE Consumer Electronics Magazine}, number = {4}, publisher = {IEEE}, issn = {2162-2248}, doi = {10.1109/MCE.2020.2969202}, pages = {77 -- 82}, language = {en} } @misc{HuebnerGuntzel, author = {H{\"u}bner, Michael and Guntzel, Jose Luis}, title = {Guest Editors' Introduction: SBCCI 2018}, series = {IEEE Design \& Test}, volume = {37}, journal = {IEEE Design \& Test}, number = {3}, issn = {2168-2364}, doi = {10.1109/MDAT.2020.2989094}, pages = {5 -- 6}, language = {en} } @misc{HoffmannBrandaleroHuebner, author = {Hoffmann, Javier Eduardo and Brandalero, Marcelo and H{\"u}bner, Michael}, title = {TIRUB: A Safety and Energy-Aware Scheduling Algorithm}, series = {Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020, Poznan, Poland}, journal = {Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020, Poznan, Poland}, isbn = {978-83-62065-39-4}, doi = {10.23919/SPA50552.2020.9241280}, pages = {6}, language = {en} } @misc{VeleskiHuebnerKrsticetal., author = {Veleski, Mitko and H{\"u}bner, Michael and Krstic, Milos and Kraemer, Rolf}, title = {Highly Configurable Framework for Adaptive Low Power and Error-Resilient System-On-Chip}, series = {23rd EUROMICRO Conference on Digital System Design (DSD 2020), Portoroz, Slovenia, 26 - 28 August 2020}, journal = {23rd EUROMICRO Conference on Digital System Design (DSD 2020), Portoroz, Slovenia, 26 - 28 August 2020}, publisher = {IEEE}, isbn = {978-1-7281-9535-3}, doi = {10.1109/DSD51259.2020.00015}, pages = {24 -- 28}, language = {en} } @misc{MedeirosBernedNavarroetal., author = {Medeiros, Thiarles S. and Berned, Gustavo P. and Navarro, Antoni and Rossi, F{\´a}bio D. and Luizelli, Marcelo C. and Brandalero, Marcelo and H{\"u}bner, Michael and Beck, Antonio Carlos Schneider and Lorenzon, Arthur F.}, title = {Aging-Aware Parallel Execution}, series = {IEEE Embedded System Letters}, volume = {13 (2021)}, journal = {IEEE Embedded System Letters}, number = {3}, issn = {1943-0663}, doi = {10.1109/LES.2020.3021854}, pages = {122 -- 125}, language = {en} } @misc{FouadSchmitzHuebneretal., author = {Fouad, Mariam and Schmitz, Georg and H{\"u}bner, Michael and Abdelghany, Mohamed}, title = {Deep Learning in Signal Linearization for Harmonic Imaging Application}, series = {IEEE 18th International Symposium on Biomedical Imaging (ISBI)}, journal = {IEEE 18th International Symposium on Biomedical Imaging (ISBI)}, isbn = {978-1-6654-1246-9}, issn = {1945-8452}, doi = {10.1109/ISBI48211.2021.9434134}, pages = {4}, language = {en} } @misc{SchmailzlHuebner, author = {Schmailzl, Georg J. G. and H{\"u}bner, Michael}, title = {From the Internet of Things to an Internet of Services in Healthcare}, series = {Enhanced Telemedicine and e-Health}, journal = {Enhanced Telemedicine and e-Health}, isbn = {978-3-030-70110-9}, issn = {1860-0808}, doi = {10.1007/978-3-030-70111-6_7}, pages = {141 -- 153}, language = {en} } @misc{HernandezVeleskiBrandaleroetal., author = {Hernandez, Hector Gerardo Mu{\~n}oz and Veleski, Mitko and Brandalero, Marcelo and H{\"u}bner, Michael}, title = {Accelerating Convolutional Neural Networks in FPGA-based SoCs using a Soft-Core GPU}, series = {Applied Reconfigurable Computing. Architectures, Tools, and Applications : 17th International Symposium, ARC 2021, Virtual Event, June 29-30, 2021, Proceedings}, journal = {Applied Reconfigurable Computing. Architectures, Tools, and Applications : 17th International Symposium, ARC 2021, Virtual Event, June 29-30, 2021, Proceedings}, editor = {Derrien, Steven and Hannig, Frank and Diniz, Pedro C. and Chillet, Daniel}, publisher = {Springer}, address = {Cham}, isbn = {978-3-030-79024-0}, issn = {0302-9743}, doi = {10.1007/978-3-030-79025-7_20}, pages = {275 -- 284}, language = {en} } @misc{DaSilvaLimaGarciaetal., author = {Da Silva, Bruno A. and Lima, Arthur M. and Garcia, Janier Arias and H{\"u}bner, Michael and Yudi, Jones}, title = {A Manycore Vision Processor for Real-Time Smart Cameras}, series = {Sensors}, volume = {21}, journal = {Sensors}, number = {21}, issn = {1424-8220}, doi = {10.3390/s21217137}, language = {en} } @misc{RettkowskiHaasePrimusetal., author = {Rettkowski, Jens and Haase, Julian and Primus, Sven and H{\"u}bner, Michael and G{\"o}hringer, Diana}, title = {Performance analysis of application-specific instruction-set routers in networks-on-chip}, series = {NoCArc '21: Performance analysis of application-specific instruction-set routers in networks-on-chip}, journal = {NoCArc '21: Performance analysis of application-specific instruction-set routers in networks-on-chip}, publisher = {ACM Digital Library}, address = {New York}, doi = {10.1145/3477231.3490426}, pages = {16 -- 21}, language = {en} } @misc{NannipieriGiuffridaLorenzoetal., author = {Nannipieri, Pietro and Giuffrida, Gianluca and Lorenzo, Diana and Panicacci, Silvia and Zulberti, Luca and Fanucci, Luca and Munoz-Hernandez, Hector Gerardo and H{\"u}bner, Michael}, title = {ICU4SAT: A General-Purpose Reconfigurable Instrument Control Unit Based on Open Source Components}, series = {IEEE Aerospace Conference (AERO), 5-12 March 2022}, journal = {IEEE Aerospace Conference (AERO), 5-12 March 2022}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-16654-3760-8}, doi = {10.1109/AERO53065.2022.9843414}, pages = {1 -- 9}, language = {en} } @misc{BrandaleroVeleskiMunozHernandezetal., author = {Brandalero, Marcelo and Veleski, Mitko and Mu{\~n}oz-Hernandez, Hector Gerardo and Ali, Muhammad and Le Jeune, Laurens and Goedem{\´e}, Toon and Mentens, Nele and Vandendriessche, Jurgen and Lhoest, Lancelot and Da Silva, Bruno and Touhafi, Abdellah and Goehringer, Diana and H{\"u}bner, Michael}, title = {Embedded AI Techniques for Industrial Applications}, series = {31st International Conference on Field-Programmable Logic and Applications (FPL), Dresden, Germany, 30 August-3 September 2021}, journal = {31st International Conference on Field-Programmable Logic and Applications (FPL), Dresden, Germany, 30 August-3 September 2021}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-6654-3759-2}, doi = {10.1109/FPL53798.2021.00071}, pages = {374 -- 375}, language = {en} } @misc{BrandaleroAliLeJeuneetal., author = {Brandalero, Marcelo and Ali, Muhammad and Le Jeune, Laurens and Mu{\~n}oz Hernandez, Hector Gerardo and Veleski, Mitko and Silva, Bruno da and Lemeire, Jan and Van Beeck, Kristof and Touhafi, Abdellah and Goedem{\´e}, Toon and Mentens, Nele and G{\"o}hringer, Diana and H{\"u}bner, Michael}, title = {AITIA: Embedded AI Techniques for Industrial Applications}, series = {International Conference on Omni-Layer Intelligent Systems (COINS), 31 August-2 September 2020, Barcelona, Spain}, journal = {International Conference on Omni-Layer Intelligent Systems (COINS), 31 August-2 September 2020, Barcelona, Spain}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-7281-6371-0}, doi = {10.1109/COINS49042.2020.9191672}, pages = {1 -- 7}, language = {en} } @inproceedings{SchwiegelshohnWehnerWerneretal., author = {Schwiegelshohn, Fynn and Wehner, Philipp and Werner, Florian and Gohringer, Diana and H{\"u}bner, Michael}, title = {Enabling indoor object localization through Bluetooth beacons on the RADIO robot platform}, series = {Proceedings, 2016 International Conference on Embedded Computer Systems, Architectures, Modeling and Simulation (SAMOS XVI), July 17-21, 2016, Samos, Greece}, booktitle = {Proceedings, 2016 International Conference on Embedded Computer Systems, Architectures, Modeling and Simulation (SAMOS XVI), July 17-21, 2016, Samos, Greece}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-3076-7}, doi = {10.1109/SAMOS.2016.7818366}, pages = {328 -- 333}, language = {en} } @inproceedings{LaleveeHorreinArzeletal., author = {Lalev{\´e}e, Andr{\´e} and Horrein, Pierre-Henri and Arzel, Matthieu and H{\"u}bner, Michael and Vaton, Sandrine}, title = {AutoReloc: Automated Design Flow for Bitstream Relocation on Xilinx FPGAs}, series = {DSD 2016, proceedings, 19th Euromicro Conference in Digital System Design, 31 August-2 September 2016, Limassol, Cyprus}, booktitle = {DSD 2016, proceedings, 19th Euromicro Conference in Digital System Design, 31 August-2 September 2016, Limassol, Cyprus}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-2817-7}, doi = {10.1109/DSD.2016.92}, pages = {14 -- 21}, language = {en} } @inproceedings{GrimmLettninHuebner, author = {Grimm, Tomas and Lettnin, Djones and H{\"u}bner, Michael}, title = {Automatic Generation of RTL Connectivity Checkers for Automotive Gateways from SystemC TLM Models}, series = {IEEE Nordic Circuits and Systems Conference, 1-2 November 2016, Copenhagen, Denmark}, booktitle = {IEEE Nordic Circuits and Systems Conference, 1-2 November 2016, Copenhagen, Denmark}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-1095-0}, doi = {10.1109/NORCHIP.2016.7792922}, pages = {6}, language = {en} } @inproceedings{KeramidasAntonopoulosVorosetal., author = {Keramidas, Georgios and Antonopoulos, Christos and Voros, Nikolaos and Schwiegelshohn, Fynn and Wehner, Philipp and Rettkowski, Jens and G{\"o}hringer, Diana and H{\"u}bner, Michael and Konstantopoulos, Stasinos and Giannakopoulos, Theodore and Karkaletsis, Vangelis and Mariatos, Vaggelis}, title = {Computation and Communication Challenges to Deploy Robots in Assisted Living Environments}, series = {Design, Automation \& Test in Europe Conference \& Exhibition (DATE), 14-18 March 2016 Dresden, Germany}, booktitle = {Design, Automation \& Test in Europe Conference \& Exhibition (DATE), 14-18 March 2016 Dresden, Germany}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-3-9815370-7-9}, pages = {888 -- 893}, language = {en} } @inproceedings{KaestnerKuschnerusSpiegeletal., author = {K{\"a}stner, Florian and Kuschnerus, Dirk and Spiegel, Christoph and Janßen, Benedikt and H{\"u}bner, Michael}, title = {Design of an efficient Communication Architecture for Cyber-Physical Production Systems}, series = {14th International Conference on Automation Science and Engineering (CASE) Munich, Germany, August 20-24, 2018}, booktitle = {14th International Conference on Automation Science and Engineering (CASE) Munich, Germany, August 20-24, 2018}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5386-3593-3}, doi = {10.1109/COASE.2018.8560563}, pages = {829 -- 835}, language = {en} } @inproceedings{MoriAlvesdaSilvaWernerShallufaetal., author = {Mori Alves da Silva, Jones Yudi and Werner, Andr{\´e} and Shallufa, Arij and Fricke, Florian and H{\"u}bner, Michael}, title = {A Design Methodology for the Next Generation Real-Time Vision Processors}, series = {Applied reconfigurable computing, 12th international symposium, ARC 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016}, booktitle = {Applied reconfigurable computing, 12th international symposium, ARC 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016}, publisher = {Springer}, address = {Cham}, isbn = {978-3-319-30480-9}, pages = {14 -- 25}, language = {en} } @inproceedings{MoriAlvesdaSilvaWernerFrickeetal., author = {Mori Alves da Silva, Jones Yudi and Werner, Andr{\´e} and Fricke, Florian and H{\"u}bner, Michael}, title = {A rapid prototyping method to reduce the design time in commercial high-level synthesis tools}, series = {23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA}, booktitle = {23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-3682-0}, pages = {253 -- 258}, language = {en} } @inproceedings{MoriAlvesdaSilvaLlanosHuebner, author = {Mori Alves da Silva, Jones Yudi and Llanos, Carlos and H{\"u}bner, Michael}, title = {A framework to the design and programming of many-core focal-plane vision processors}, series = {IEEE 13th International Conference on Embedded and Ubiquitous Computing, 21-23 Oct. 2015, Porto, Portugal}, booktitle = {IEEE 13th International Conference on Embedded and Ubiquitous Computing, 21-23 Oct. 2015, Porto, Portugal}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4673-8299-1}, pages = {193 -- 198}, language = {en} } @inproceedings{MoriAlvesdaSilvaKautzHuebner, author = {Mori Alves da Silva, Jones Yudi and Kautz, Frederik and H{\"u}bner, Michael}, title = {Efficient Camera Input System and Memory Partition for a Vision Soft-Processor}, series = {Applied reconfigurable computing, 12th international symposium, ARC 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016}, booktitle = {Applied reconfigurable computing, 12th international symposium, ARC 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016}, publisher = {Springer}, address = {Cham}, isbn = {978-3-319-30480-9}, pages = {328 -- 333}, language = {en} } @inproceedings{SchwiegelshohnKaestnerHuebner, author = {Schwiegelshohn, Fynn and K{\"a}stner, Florian and H{\"u}bner, Michael}, title = {Enabling Dynamic Reconfiguration of Numerical Methods for the Robotic Motion Control Task}, series = {23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA}, booktitle = {23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-3682-0}, pages = {283 -- 288}, language = {en} } @inproceedings{FrickeWernerHuebner, author = {Fricke, Florian and Werner, Andr{\´e} and H{\"u}bner, Michael}, title = {Tool flow for automatic generation of architectures and test-cases to enable the evaluati-on of CGRAs in the context of HPC applications}, series = {Conference on Design and Architectures for Signal and Image Processing (DASIP), 27-29 Sept. 2017, Dresden, Germany}, booktitle = {Conference on Design and Architectures for Signal and Image Processing (DASIP), 27-29 Sept. 2017, Dresden, Germany}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5386-3534-6}, doi = {10.1109/DASIP.2017.8122124}, pages = {2}, language = {en} } @inproceedings{FrickeWernerJanssenetal., author = {Fricke, Florian and Werner, Andr{\´e} and Janßen, Benedikt and H{\"u}bner, Michael and Ribbe, Clemens and Cengizhan, Inac}, title = {Redesign of an Educational Robot Platform Using Web-based Programming}, series = {Proceedings of the 2016 Workshop on Embedded and Cyber-Physical Systems Education, Pittsburgh, PA, USA - October 01-07, 2016}, booktitle = {Proceedings of the 2016 Workshop on Embedded and Cyber-Physical Systems Education, Pittsburgh, PA, USA - October 01-07, 2016}, publisher = {ACM}, address = {New York, NY}, isbn = {978-1-4503-4657-3}, doi = {10.1145/3005329.3005332}, pages = {8}, language = {en} } @inproceedings{SchwiegelshohnKaestnerHuebner, author = {Schwiegelshohn, Fynn and K{\"a}stner, Florian and H{\"u}bner, Michael}, title = {FPGA design of numerical methods for the robotic motion control task exploiting high-level synthesis}, series = {IEEE International Conference on the Science of Electrical Engineering (ICSEE), 16-18 Nov. 2016, Eilat, Israel}, booktitle = {IEEE International Conference on the Science of Electrical Engineering (ICSEE), 16-18 Nov. 2016, Eilat, Israel}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-2152-9}, pages = {5}, language = {en} } @inproceedings{JanssenNaserddinHuebner, author = {Janßen, Benedikt and Naserddin, Moataz and H{\"u}bner, Michael}, title = {A Hardware/Software Co-Design Approach for Control Applications with Static Real-Time Reallocation}, series = {23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA}, booktitle = {23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-3682-0}, language = {en} } @inproceedings{JanssenWehnerGoehringeretal., author = {Janßen, Benedikt and Wehner, Philipp and G{\"o}hringer, Diana and H{\"u}bner, Michael}, title = {Development of Advanced Driver Assistance Systems using LabVIEW and a Car Simulator}, series = {Proceedings of the 2016 Workshop on Embedded and Cyber-Physical Systems Education, Pittsburgh, PA, USA - October 01-07, 2016}, booktitle = {Proceedings of the 2016 Workshop on Embedded and Cyber-Physical Systems Education, Pittsburgh, PA, USA - October 01-07, 2016}, publisher = {ACM}, address = {New York, NY}, isbn = {978-1-4503-4657-3}, doi = {10.1145/3005329.3005330}, pages = {6}, language = {en} } @inproceedings{NavarroLeidingHuebner, author = {Navarro, Osvaldo and Leiding, Tim and H{\"u}bner, Michael}, title = {A Dynamic Cache Reconfiguration Platform for Soft Real-Time Systems}, series = {IEEE International Conference on Electronics, Circuits and Systems (ICECS), 11-14 Dec. 2016, Monte Carlo, Monaco}, booktitle = {IEEE International Conference on Electronics, Circuits and Systems (ICECS), 11-14 Dec. 2016, Monte Carlo, Monaco}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-6113-6}, doi = {10.1109/ICECS.2016.7841214}, pages = {388 -- 391}, language = {en} } @inproceedings{KaestnerJanssenKautzetal., author = {K{\"a}stner, Florian and Janßen, Benedikt and Kautz, Frederik and H{\"u}bner, Michael and Corradi, Giulio}, title = {Hardware/Software Codesign for Convolutional Neural Networks exploiting Dynamic Partial Reconfiguration on PYNQ}, series = {32nd International Parallel and Distributed Processing Symposium workshops, IPDPSW 2018, proceedings, 21-25 May 2018, Vancouver, British Columbia, Canada}, booktitle = {32nd International Parallel and Distributed Processing Symposium workshops, IPDPSW 2018, proceedings, 21-25 May 2018, Vancouver, British Columbia, Canada}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5386-5555-9}, pages = {154 -- 161}, language = {en} } @inproceedings{JanssenKaestnerWingenderetal., author = {Janßen, Benedikt and K{\"a}stner, Florian and Wingender, Tim and H{\"u}bner, Michael}, title = {A Dynamic Partial Reconfigurable Overlay Framework for Python}, series = {Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018}, booktitle = {Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018}, editor = {Voros, Nikolaos and H{\"u}bner, Michael and Keramidas, Georgios and G{\"o}hringer, Diana and Antonopoulos, Christos and Diniz, Pedro C.}, publisher = {Springer}, address = {Cham}, isbn = {978-3-319-78889-0}, pages = {331 -- 342}, language = {en} } @inproceedings{MoriAlvesdaSilvaHuebner, author = {Mori Alves da Silva, Jones Yudi and H{\"u}bner, Michael}, title = {Multi-level parallelism analysis and system-level simulation for many-core vision processor design}, series = {5th Mediterranean Conference on Embedded Computing (MECO), Bar, Montenegro, June 12th-16th}, booktitle = {5th Mediterranean Conference on Embedded Computing (MECO), Bar, Montenegro, June 12th-16th}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-2222-9}, pages = {90 -- 95}, language = {en} } @inproceedings{StroobandtAlKadiHuebner, author = {Stroobandt, Dirk and Al Kadi, Muhammed Soubhi and H{\"u}bner, Michael}, title = {EXTRA: Towards the Exploitation of eXascale Technology for Reconfigurable Architectures}, series = {11th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoc), June 27-29, 2016, Tallinn, Estonia}, booktitle = {11th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoc), June 27-29, 2016, Tallinn, Estonia}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-2520-6}, pages = {7}, language = {en} } @inproceedings{JanssenKaestnerKautzetal., author = {Janßen, Benedikt and K{\"a}stner, Florian and Kautz, Frederik and H{\"u}bner, Michael}, title = {Exploring Deep Neural Networks for Regression Analysis}, series = {PESARO 2018, the Eighth International Conference on Performance, Safety and Robustness in Complex Systems and Applications, April 22-26, 2018, Athens, Greece /}, booktitle = {PESARO 2018, the Eighth International Conference on Performance, Safety and Robustness in Complex Systems and Applications, April 22-26, 2018, Athens, Greece /}, editor = {H{\"u}bner, Michael and R{\"u}ckemann, Claus-Peter}, publisher = {IARIA}, address = {[Wilmington]}, isbn = {978-1-61208-628-6}, pages = {6}, language = {en} } @inproceedings{AlKadiJanssenHuebner, author = {Al Kadi, Muhammed Soubhi and Janßen, Benedikt and H{\"u}bner, Michael}, title = {FGPU: An SIMT-Architecture for FPGAs}, series = {FPGA '16 Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, California, USA — February 21 - 23, 2016}, booktitle = {FPGA '16 Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, California, USA — February 21 - 23, 2016}, publisher = {ACM, Association for Computing Machinery}, address = {New York, NY}, isbn = {978-1-4503-3856-1}, pages = {254 -- 263}, language = {en} } @inproceedings{GuzmanHuebner, author = {Guzman, Osvaldo Navarro and H{\"u}bner, Michael}, title = {Runtime Adaptive Cache for the LEON3 Processor}, series = {Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018}, booktitle = {Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018}, editor = {Voros, Nikolaos and H{\"u}bner, Michael and Keramidas, Georgios and G{\"o}hringer, Diana and Antonopoulos, Christos and Diniz, Pedro C.}, publisher = {Springer}, address = {Cham}, pages = {343 -- 354}, language = {en} } @inproceedings{AlKadiHuebner, author = {Al Kadi, Muhammed Soubhi and H{\"u}bner, Michael}, title = {Integer Computations with Soft GPGPU on FPGAs}, series = {Proceedings of the 2016 International Conference on Field-Programmable Technology (FPT), Dec. 07-09, 2016, Xi'an China}, booktitle = {Proceedings of the 2016 International Conference on Field-Programmable Technology (FPT), Dec. 07-09, 2016, Xi'an China}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-5090-5602-6}, pages = {8}, language = {en} } @inproceedings{FrickeWernerShahinetal., author = {Fricke, Florian and Werner, Andr{\´e} and Shahin, Keyvan and H{\"u}bner, Michael}, title = {CGRA Tool Flow for Fast Run-Time Reconfiguration}, series = {Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018}, booktitle = {Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018}, editor = {Voros, Nikolaos and H{\"u}bner, Michael and Keramidas, Georgios and G{\"o}hringer, Diana and Antonopoulos, Christos and Diniz, Pedro C.}, publisher = {Springer}, address = {Cham}, isbn = {978-3-319-78889-0}, doi = {10.1007/978-3-319-78890-6_53}, pages = {661 -- 672}, language = {en} } @misc{WernerFrickeShahinetal., author = {Werner, Andr{\´e} and Fricke, Florian and Shahin, Keyvan and Werner, Florian and H{\"u}bner, Michael}, title = {Automatic Toolflow for VCGRA Generation to Enable CGRA Evaluation for Arithmetic Algorithms}, series = {Applied Reconfigurable Computing, 15th International Symposium, ARC 2019, Darmstadt, Germany, April 9-11, 2019, Proceedings}, journal = {Applied Reconfigurable Computing, 15th International Symposium, ARC 2019, Darmstadt, Germany, April 9-11, 2019, Proceedings}, editor = {Hochberger, Christian and Nelson, Brent and Koch, Andreas and Woods, Roger and Diniz, Pedro C.}, publisher = {Springer}, address = {Cham}, isbn = {978-3-030-17226-8}, issn = {0302-9743}, doi = {0.1007/978-3-030-17227-5_20}, pages = {277 -- 291}, language = {en} } @misc{GlockKernOttenetal., author = {Glock, Thomas and Kern, Matthias and Otten, Stefan and Sax, Eric and Hillenbrand, Martin and H{\"u}bner, Michael}, title = {Prozessanlagenplanung 2.0 Netzarchitektur aus Vefahrensbeschreibung ableiten}, series = {atp edition}, volume = {58}, journal = {atp edition}, number = {10}, issn = {2190-4111}, pages = {28 -- 39}, language = {en} } @misc{ScharobaBasenerBielefeldtetal., author = {Scharoba, Stefan and Basener, Kai-Uwe and Bielefeldt, Jens and Wiesbrock, Hans-Werner and H{\"u}bner, Michael}, title = {Towards Machine Learning Support for Embedded System Tests}, series = {24th Euromicro Conference on Digital System Design, DSD 2021, virtual conference, 1-3 September 2021}, journal = {24th Euromicro Conference on Digital System Design, DSD 2021, virtual conference, 1-3 September 2021}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-6654-2703-6}, doi = {10.1109/dsd53832.2021.00034}, pages = {166 -- 173}, language = {en} } @misc{GianiBenevenutiGoncalvesetal., author = {Giani, Braga and Benevenuti, Fabio and Gon{\c{c}}alves, Marcio M. and H{\"u}bner, Michael and Brandalero, Marcelo and Kastensmidt, Fernanda and Azambuja, Jose Rodrigo}, title = {Evaluating softcore GPU in SRAM-based FPGA under radiation-induced effects}, series = {Microelectronics Reliability}, volume = {126}, journal = {Microelectronics Reliability}, issn = {0026-2714}, doi = {10.1016/j.microrel.2021.114348}, language = {en} } @misc{SteuerSchwarzOehmeetal., author = {Steuer, Oliver and Schwarz, Daniel and Oehme, Michael and Schulze, J. and Maczko, H. and Kudrawiec, Robert and Fischer, Inga Anita and Heller, R. and H{\"u}bner, R. and Khan, M. M. and Georgiev, Yordan M. and Zhou, Shengqiang and Helm, M. and Prucnal, Slawomir}, title = {Band-gap and strain engineering in GeSn alloys using post-growth pulsed laser melting}, series = {Journal of Physics: Condensed Matter}, volume = {35}, journal = {Journal of Physics: Condensed Matter}, number = {5}, issn = {1361-648X}, doi = {10.1088/1361-648X/aca3ea}, language = {en} } @misc{DaSilvaCardosoNardoetal., author = {Da Silva, Samuel Souza and Cardoso, Matheus and Nardo, Lucas and Nepomuceno, Erivelton and H{\"u}bner, Michael and Arias-Garcia, Janier}, title = {A New Chaos-Based PRNG Hardware Architecture Using The HUB Fixed-Point Format}, series = {IEEE Transactions on Instrumentation and Measurement}, volume = {Vol. 72}, journal = {IEEE Transactions on Instrumentation and Measurement}, issn = {1557-9662}, doi = {10.1109/TIM.2023.3235457}, pages = {1 -- 8}, language = {en} } @misc{FouadMetwallySchmitzetal., author = {Fouad, Mariam and Metwally, Yousef and Schmitz, Georg and H{\"u}bner, Michael and Abd El Ghany, Mohamed A.}, title = {Deep Learning Utilization In Beamforming Enhancement for Medical Ultrasound}, series = {2020 IEEE 44th Annual Computers, Software, and Applications Conference (COMPSAC), 13-17 July 2020, Madrid, Spain}, journal = {2020 IEEE 44th Annual Computers, Software, and Applications Conference (COMPSAC), 13-17 July 2020, Madrid, Spain}, publisher = {IEEE}, isbn = {978-1-7281-7303-0}, doi = {10.1109/COMPSAC48688.2020.0-175}, pages = {717 -- 722}, language = {en} } @misc{HernandezMahmoodBrandaleroetal., author = {Hernandez, Hector Gerardo Mu{\~n}oz and Mahmood, Safdar and Brandalero, Marcelo and H{\"u}bner, Michael}, title = {A Modular Software Library for Effective High-Level Synthesis of Convolutional Neural Networks}, series = {Applied Reconfigurable Computing. Architectures, Tools, and Applications, 16th International Symposium, ARC 2020, Toledo, Spain, April 1-3, 2020, Proceedings}, journal = {Applied Reconfigurable Computing. Architectures, Tools, and Applications, 16th International Symposium, ARC 2020, Toledo, Spain, April 1-3, 2020, Proceedings}, publisher = {Springer}, address = {Cham}, isbn = {978-3-030-44533-1}, issn = {0302-9743}, doi = {10.1007/978-3-030-44534-8_16}, pages = {211 -- 220}, language = {en} } @misc{HoffmannMahmoodSuawaFogouetal., author = {Hoffmann, Javier Eduardo and Mahmood, Safdar and Suawa Fogou, Priscile and George, Nevin and Raha, Solaiman and Safi, Sabur and Schmailzl, Kurt JG and Brandalero, Marcelo and H{\"u}bner, Michael}, title = {A Survey on Machine Learning Approaches to ECG Processing}, series = {2020 Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020 , Poznan, Poland}, journal = {2020 Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020 , Poznan, Poland}, isbn = {978-83-62065-39-4}, language = {en} } @misc{BrandaleroHernandezVeleskietal., author = {Brandalero, Marcelo and Hernandez, Hector Gerardo Mu{\~n}oz and Veleski, Mitko and Al Kadi, Muhammed and Rech, Paolo and H{\"u}bner, Michael}, title = {Enabling Domain-Specific Architectures with an Open-Source Soft-Core GPGPU}, series = {2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), New Orleans, LA, 18-22 May 2020}, journal = {2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), New Orleans, LA, 18-22 May 2020}, isbn = {978-1-7281-7445-7}, doi = {10.1109/IPDPSW50202.2020.00015}, language = {en} } @misc{MahmoodShydlouskiHuebner, author = {Mahmood, Safdar and Shydlouski, Pavel and H{\"u}bner, Michael}, title = {An Application Specific Framework for HLS-based FPGA Design of Articulated Robot Inverse" Kinematics}, series = {International Conference on ReConFigurable Computing and FPGAs (ReConFig)}, journal = {International Conference on ReConFigurable Computing and FPGAs (ReConFig)}, isbn = {978-1-7281-1968-7}, issn = {2640-0472}, doi = {10.1109/RECONFIG.2018.8641691}, pages = {6}, language = {en} } @misc{VeleskiHuebnerKrsticetal., author = {Veleski, Mitko and H{\"u}bner, Michael and Krstic, Milos and Kraemer, Rolf}, title = {Design and implementation strategy of adaptive processor-based systems for error resilient and power-efficient operation}, series = {24th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems, DDECS, April 7-9 2021}, journal = {24th International Symposium on Design and Diagnostics of Electronic Circuits \& Systems, DDECS, April 7-9 2021}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-6654-3595-6}, doi = {10.1109/DDECS52668.2021.9417023}, pages = {57 -- 62}, language = {en} } @misc{VeleskiHuebnerKrsticetal., author = {Veleski, Mitko and H{\"u}bner, Michael and Krstic, Milos and Kraemer, Rolf}, title = {Towards Error Resilient and Power-Efficient Adaptive Multiprocessor System using Highly Configurable and Flexible Cross-Layer Framework}, series = {IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS), IOLTS 2021, June 28-30, 2021}, journal = {IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS), IOLTS 2021, June 28-30, 2021}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-6654-3370-9}, doi = {10.1109/IOLTS52814.2021.9486695}, pages = {1 -- 7}, language = {en} } @misc{BielefeldtBasenerKhanetal., author = {Bielefeldt, Jens and Basener, Kai-Uwe and Khan, Siddique R. and Massah, Mozhdeh and Wiesbrock, Hans-Werner and Scharoba, Stefan and H{\"u}bner, Michael}, title = {DeepTest: How Machine Learning Can Improve the Test of Embedded Systems }, series = {10th Mediterranean Conference on Embedded Computing (MECO), 7-10 June 2021}, journal = {10th Mediterranean Conference on Embedded Computing (MECO), 7-10 June 2021}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-6654-3912-1}, doi = {10.1109/meco52532.2021.9460182}, pages = {1 -- 6}, language = {en} } @misc{BielefeldtBasenerKhanetal., author = {Bielefeldt, Jens and Basener, Kai-Uwe and Khan, Siddique R. and Massah, Mozhdeh and Wiesbrock, Hans-Werner and Scharoba, Stefan and H{\"u}bner, Michael}, title = {Einsatz von Deep Learning f{\"u}r den Test Eingebetteter Systeme }, series = {Informatik 2021: computer science \& sustainability, 27. September-01. Oktober 2021, Berlin}, journal = {Informatik 2021: computer science \& sustainability, 27. September-01. Oktober 2021, Berlin}, publisher = {Gesellschaft f{\"u}r Informatik e.V.}, address = {Bonn}, isbn = {978-3-88579-708-1}, doi = {10.18420/INFORMATIK2021-166}, language = {de} } @misc{GoncalvesBenevenutiMunozHernandezetal., author = {Gon{\c{c}}alves, Marcio M. and Benevenuti, Fabio and Munoz-Hernandez, Hector Gerardo and Brandalero, Marcelo and H{\"u}bner, Michael and Kastensmidt, Fernanda and Azambuja, Jose Rodrigo}, title = {Investigating Floating-Point Implementations in a Softcore GPU under Radiation-Induced Faults}, series = {27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), virtual conference, November 23-25, 2020}, journal = {27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), virtual conference, November 23-25, 2020}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-7281-6044-3}, doi = {10.1109/ICECS49266.2020.9294939}, pages = {1 -- 4}, language = {en} } @misc{SuawaFogouMeiselJongmannsetal., author = {Suawa Fogou, Priscile and Meisel, Tenia and Jongmanns, Marcel and H{\"u}bner, Michael and Reichenbach, Marc}, title = {Modeling and Fault Detection of Brushless Direct Current Motor by Deep Learning Sensor Data Fusion}, series = {Sensors}, volume = {22}, journal = {Sensors}, number = {9}, issn = {1424-8220}, doi = {10.3390/s22093516}, pages = {17}, language = {en} } @misc{AssafoLautschSuawaetal., author = {Assafo, Maryam and Lautsch, Martin and Suawa, Priscile Fogou and Jongmanns, Marcel and H{\"u}bner, Michael and Reichenbach, Marc and Brockmann, Carsten and Reinhardt, Denis and Langend{\"o}rfer, Peter}, title = {The ForTune Toolbox: Building Solutions for Condition-Based and Predictive Maintenance Focusing on Retrofitting}, publisher = {VDE Verlag}, address = {Berlin}, isbn = {978-3-8007-6204-0}, pages = {S. 541}, language = {en} } @misc{HernandezFrickeAlKadietal., author = {Hernandez, Hector Gerardo Mu{\~n}oz and Fricke, Florian and Al Kadi, Muhammed and Reichenbach, Marc and H{\"u}bner, Michael}, title = {Edge GPU based on an FPGA Overlay Architecture using PYNQ}, series = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design (SBCCI). 2022}, journal = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design (SBCCI). 2022}, isbn = {978-1-6654-8128-1}, doi = {10.1109/SBCCI55532.2022.9893229}, pages = {6}, language = {en} } @misc{MahmoodScharobaSchorlemeretal., author = {Mahmood, Safdar and Scharoba, Stefan and Schorlemer, Jonas and Schulz, Christian and H{\"u}bner, Michael and Reichenbach, Marc}, title = {Detecting Improvised Land-mines using Deep Neural Networks on GPR Image Dataset targeting FPGAs }, series = {IEEE Nordic Circuits and Systems Conference (NORCAS), 25-26 October 2022, Oslo, Norway}, journal = {IEEE Nordic Circuits and Systems Conference (NORCAS), 25-26 October 2022, Oslo, Norway}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {979-8-3503-4550-6}, doi = {10.1109/norcas57515.2022.9934735}, pages = {1 -- 7}, language = {en} } @misc{MahmoodHuebnerReichenbach, author = {Mahmood, Safdar and H{\"u}bner, Michael and Reichenbach, Marc}, title = {A Design-Space Exploration Framework for Application-Specific Machine Learning Targeting Reconfigurable Computing}, series = {Applied Reconfigurable Computing. Architectures, Tools, and Applications, 19th International Symposium, ARC 2023, Cottbus, Germany, September 27-29, 2023}, journal = {Applied Reconfigurable Computing. Architectures, Tools, and Applications, 19th International Symposium, ARC 2023, Cottbus, Germany, September 27-29, 2023}, editor = {Palumbo, Francesca and Keramidas, Georgios and Voros, Nikolaos and Diniz, Pedro C.}, publisher = {Springer}, address = {Cham}, isbn = {978-3-031-42921-7}, doi = {10.1007/978-3-031-42921-7_27}, pages = {371 -- 374}, language = {en} }