@inproceedings{KaravaevTallaridaSchmeisseretal., author = {Karavaev, Konstantin and Tallarida, Massimo and Schmeißer, Dieter and Zschech, Ehrenfried}, title = {In-situ ALD growth of Hafnium oxide films}, series = {Verhandlungen der Deutschen Physikalischen Gesellschaft ; Reihe 6, Bd. 43}, booktitle = {Verhandlungen der Deutschen Physikalischen Gesellschaft ; Reihe 6, Bd. 43}, publisher = {Deutsche Physikalische Gesellschaft}, address = {Bad Honnef}, issn = {0420-0195}, pages = {S. 244}, abstract = {We report on a novel system for in-situ atomic layer growth (ALD) of high-k dielectric films. First results were obtained for Hf-oxide samples by using Hf-tetrachloride as precursor and water as oxidizer. We compare the photoelectron spectra of Si2p, O1s and Hf4f of our in-situ prepared films with samples (ex-situ) prepared by industrial ALD reactors and discuss similarities and differences observed in the core level spectra of the various samples by considering the different growth conditions.}, language = {en} } @misc{DudekLupinaKozłowskietal., author = {Dudek, Piotr T. and Lupina, Grzegorz and Kozłowski, Grzegorz and Zaumseil, Peter and Bauer, Jakob and Fursenko, Oksana and Dabrowski, Jarek Marek and Schmidt, R. and Lippert, Gunther and M{\"u}ssig, Hans-Joachim and Schr{\"o}der, Thomas and Schmeißer, Dieter and Zschech, Ehrenfried}, title = {Atomic-scale engineering of future high-k dynamic random access memory dielectrics: The example of partial Hf substitution by Ti in BaHfO3}, series = {Journal of Vacuum Science and Technology / B}, volume = {29}, journal = {Journal of Vacuum Science and Technology / B}, number = {1}, issn = {2166-2754}, language = {en} } @inproceedings{HeimFriedrichGuttmannetal., author = {Heim, S. and Friedrich, Daniel and Guttmann, P. and Rehbein, S. and Chumakov, Dmytro and Ritz, Y. and Schneider, G. and Schmeißer, Dieter and Zschech, Ehrenfried}, title = {Dynamical X-ray Microscopy Study of Stress-Induced Voiding in Cu Interconnects}, language = {en} } @inproceedings{TallaridaKaravaevSchmeisseretal., author = {Tallarida, Massimo and Karavaev, Konstantin and Schmeißer, Dieter and Zschech, Ehrenfried}, title = {HfO2 ALD-growth studied by in situ photoemission}, series = {Proceedings of 15th Workshop on Dielectrics in Micoelectronics, Bad Saarow, 23 - 25 June 2008}, booktitle = {Proceedings of 15th Workshop on Dielectrics in Micoelectronics, Bad Saarow, 23 - 25 June 2008}, pages = {289 -- 290}, language = {en} } @misc{ZschechHoSchmeisseretal., author = {Zschech, Ehrenfried and Ho, O. S. and Schmeißer, Dieter and Meyer, Moritz Andreas and Vairagar, A. V. and Schneider, G. and Hauschildt, Meike and Kraatz, Matthias and Sukharev, V.}, title = {Geometry and Microstructure Effect on EM-Induced Copper Interconnect Degradation}, series = {IEEE Transactions on Device and Materials Reliability}, volume = {9}, journal = {IEEE Transactions on Device and Materials Reliability}, number = {1}, issn = {1530-4388}, pages = {20 -- 30}, language = {en} } @misc{KlocekKolanekHenkeletal., author = {Klocek, Jolanta and Kolanek, Krzysztof and Henkel, Karsten and Zschech, Ehrenfried and Schmeißer, Dieter}, title = {Influence of the fullerene derivatives and cage polyhedral oligomeric silsesquioxanes on 3-aminopropyltrimethoxysilane based hybrid nanocomposites chemical, morphological and electrical properties}, series = {Journal of Physics and Chemistry of Solids}, volume = {74}, journal = {Journal of Physics and Chemistry of Solids}, number = {1}, issn = {0022-3697}, doi = {10.1016/j.jpcs.2012.08.013}, pages = {135 -- 145}, abstract = {In this study we produced 3-aminopropyltrimethoxysilane based hybrid nanocomposites using fullerene derivative [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) as nanodopants. Additionally, we modified obtained material with incompletely condensed cage polyhedral oligomeric silsesqiuox-anes (POSS) in order to improve its properties. Thin films were deposited by means of the spin-coating method. The films were characterized by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and capacitance-voltage (CV) measurements. We observed that a properly chosen PCBM concentration may be a crucial factor to obtain high quality APTMS based films by the spin-coating technique. XPS revealed the presence of the C-NH-C species within POSS containing films indicating chemical interaction between the amino group of APTMS and the POSS molecules. AFM results suggest that at high POSS monomer concentration in the range of 1\% the creation of fractal-shaped aggregates takes place. The CV characterization of the samples exhibits a decrease of the APTMS based films dielectric constant due to the POSS and PCBM contribution. The combination of the applied methods delivers complementary information concerning the properties of the analyzed films.}, language = {en} } @inproceedings{TallaridaKaravaevSchmeisseretal., author = {Tallarida, Massimo and Karavaev, Konstantin and Schmeißer, Dieter and Zschech, Ehrenfried}, title = {Photoemission and absorption spectroscopy for in situ investigations of the ALD growth}, series = {Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 43}, booktitle = {Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 43}, publisher = {Deutsche Physikalische Gesellschaft}, address = {Bad Honnef}, issn = {0420-0195}, pages = {S. 242}, abstract = {We have investigated the growth of Hf-oxide on Si by means of photoemission and X-ray absorption spectroscopy using synchrotron radiation at Bessy, Berlin. The Hf-oxide layers were grown via atomic layer deposition (ALD) using an in-situ ALD reactor attached to the investigation chamber. The XPS and XAS spectra were measured after every deposition cycle by transferring the sample into the investigation chamber without breaking the vacuum. From the experimental data we have obtained information about the early stages of the Hf-oxide growth, concerning in particular the reactivity of the interface with Si. Due to the possibility to study the layers after every cycle and with different oxidation parameters without exposing them to contaminants, the in situ investigation revealed to be a very important method to understand the growth properties of Hf-oxide.}, language = {en} } @inproceedings{HoffmannSchmeisserEngelmannetal., author = {Hoffmann, Patrick and Schmeißer, Dieter and Engelmann, Hans-J{\"u}rgen and Zschech, Ehrenfried and Stegmann, Heiko and Himpsel, Franz J. and Denlinger, Jonathan D.}, title = {Characterization of chemical bonding in low-k dielectric materials for interconnect isolation: A XAS and EELS Study}, series = {Materials, technology and reliability of low-k dielectrics and copper interconnects, symposium held April 18 - 21, 2006, San Francisco, California}, booktitle = {Materials, technology and reliability of low-k dielectrics and copper interconnects, symposium held April 18 - 21, 2006, San Francisco, California}, editor = {Tsui, Ting Y.}, publisher = {Materials Research Society}, address = {Warrendale, Pa.}, isbn = {978-1-55899-870-5}, pages = {55 -- 62}, language = {en} } @inproceedings{FriedrichMichlingKloceketal., author = {Friedrich, Daniel and Michling, Marcel and Klocek, Jolanta and Schmeißer, Dieter and Avdoshenko, Stas and Chumakov, Dmytro and Zschech, Ehrenfried}, title = {Study of C60 based films formation by NEXAFS}, series = {Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 44}, booktitle = {Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 44}, publisher = {Deutsche Physikalische Gesellschaft}, address = {Bad Honnef}, issn = {0420-0195}, pages = {S. 145}, abstract = {The C60 fullerenes and some of its -OH, -CF3 and -Cl derivates are candidates for application as ultra-low-k insulators in the semiconductor industry. Future preparation of thin films based on these materials requires the stability of the substituted fullerenes against chemical handling and processing steps. The latter issue was addressed by our NEXAFS studies that were done by synchrotron radiation at the beam line U49/2-PGM2 at BESSY II. Performed were the C K-edge NEXAFS studies in total fluorescence and total electron yield modes. The C60 based films were deposited on silicon by spraying from solutions. The influence of the different substitutional groups with C60 molecules was studied. The data were compared to the reference spectra of a pure C60 film. For C60(OH)24, C60-Cl2 and C60(CF3)12 a variance of the intensity of the π*-resonance as well as shift to higher energies of 0.5 eV (-OH), 0.6 eV (-F), 0.7 eV (-Cl) were observed. The results confirm the necessary stability of the used C60 derivatives and shall be used for optimization of the film deposition chemistry and conditions.}, language = {en} } @misc{KraatzGallZschechetal., author = {Kraatz, Matthias and Gall, Martin and Zschech, Ehrenfried and Schmeißer, Dieter and Ho, Paul S.}, title = {A model for statistical electromigration simulation with dependence on capping layer and Cu microstructure in two dimensions}, series = {Computational Materials Science}, volume = {120}, journal = {Computational Materials Science}, issn = {1879-0801}, doi = {10.1016/j.commatsci.2016.04.020}, pages = {29 -- 35}, abstract = {A model has been developed to simulate electromigration degradation in an interconnect segment in two dimensions using finite differences. The model was deployed on a parallel computer to statistically assess the lifetimes. The simulation takes into account the diffusion paths for electromigration mass transport along the grain boundaries and the capping layer. The microstructure is generated with a Monte Carlo algorithm, using a modified Potts model. Diffusivities along the grain boundaries and the capping layers were applied as multiples of a base diffusivity and were statistically scattered. The simulation results correlate well with electromigration tests.}, language = {en} } @misc{SchmeisserTallaridaHenkeletal., author = {Schmeißer, Dieter and Tallarida, Massimo and Henkel, Karsten and M{\"u}ller, Klaus and Mandal, Dipankar and Chumakov, Dmytro and Zschech, Ehrenfried}, title = {Characterization of oxidic and organic materials with synchrotron radiation based XPS and XAS}, language = {en} } @inproceedings{HoffmannSchmeisserHimpseletal., author = {Hoffmann, Patrick and Schmeißer, Dieter and Himpsel, Franz J. and Engelmann, Hans-J{\"u}rgen and Zschech, Ehrenfried and Stegmann, Heiko and Denlinger, Jonathan D.}, title = {Characterization of Chemical Bonding in Low-K Dielectric Materials for Interconnect Isolation: XAS and EELS Study}, series = {Verhandlungen der Deutschen Physikalischen Gesellschaft ; Reihe 6, Bd. 41}, booktitle = {Verhandlungen der Deutschen Physikalischen Gesellschaft ; Reihe 6, Bd. 41}, publisher = {Deutsche Physikalische Gesellschaft}, address = {Bad Honnef}, issn = {0420-0195}, pages = {S. 156}, abstract = {The use of low dielectric constant materials in the on-chip interconnect process reduces interconnect delay, power dissipation and crosstalk noise. In CVD deposited organo-silicate glass (OSG) the substitution of oxygen in SiO2 by methyl groups (-CH3) reduces the permittivity significantly (from 4.0 in SiO2 to 2.6-3.3 in the OSG). However, plasma processing removes C and H containing molecular groups. Therefore, compositional analysis and chemical bonding characterization of structured films with nanometer resolution is necessary. OSG thin films as-deposited and after plasma treatment are studied using XAS and EELS. In both techniques, the fine structure near the C1s edge allows to identify C-H, C-C, and C-O bonds. XAS spectra have been recorded for non-patterned films and EELS spectra for patterned structures. The chemical bonding is compared for as-deposited and plasma-treated low-k materials. The flu-orescence and the electron yield recorded while XAS measurement are compared. Examination of the C 1s near-edge structures reveal a mod-ified bonding of the remaining C atoms in the plasma-treated sample regions.}, language = {en} } @inproceedings{KraatzZhangSchmeisseretal., author = {Kraatz, Matthias and Zhang, Lijuan and Schmeißer, Dieter and Zschech, Ehrenfried and Ho, Paul S.}, title = {Statistical Analysis of Computer-simulated On-Chip Interconnect Electromigration Lifetimes under the Influence of Microstructure and Strengthened Top Interface}, series = {Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 46}, booktitle = {Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 46}, publisher = {Deutsche Physikalische Gesellschaft}, address = {Bad Honnef}, issn = {0420-0195}, pages = {S. 292}, abstract = {We are investigating the statistics of computer-simulated interconnect electromigration (EM) lifetimes with regard to the effects of microstructure and a strengthened top interface. The degradation process of EM once threatened the entire existence of integrated circuit industry in the 1960s and has remained a major reliability concern. Ongoing miniaturization and the introduction of new materials further complicate the task of EM-resistant chip manufacturing. We have developed a simple two-dimensional finite difference simulation that models the mass transport by electromigration along the grain boundaries and the top interface of interconnect segments that allows us to do calculations of void nucleation and growth. A parallel computer simulates hundreds of interconnects simultaneously and statistical analysis becomes feasible. A Monte Carlo grain growth algorithm (a modified Potts version) is applied to model the grain structure of the interconnect segments. We will show that the simulation can be used to compare simulation and experiment qualitatively. Four cases have been studied: interconnect segments with small/large grains and weak/strong top interface.}, language = {en} } @inproceedings{KraatzSchmeisserZschechetal., author = {Kraatz, Matthias and Schmeißer, Dieter and Zschech, Ehrenfried and Ho, Paul S.}, title = {Electromigration simulation for on-chip Cu interconnects}, series = {Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 44}, booktitle = {Verhandlungen der Deutschen Physikalischen Gesellschaft, Reihe 6, Bd. 44}, publisher = {Deutsche Physikalische Gesellschaft}, address = {Bad Honnef}, issn = {0420-0195}, pages = {S. 161}, abstract = {We are investigating the influence of copper microstructure on electromigration degradation effects and interconnect lifetimes using computer simulation. The simulation is carried out in three dimensions. For the copper microstructure, a Monte Carlo technique was used to model the Cu grain growth. Different diffusivities where applied to grain boundaries and top interface of the interconnect model according to the qualitative crystallographic orientation of adjacent grains. The grain boundary network and the top interface form the diffusion paths for the electromigration mass transport. Along the diffusion paths, the fluxes of vacancies where calculated including mechanical stress and electromigration driving forces using a finite difference method. Positive flux divergent sites of the FDM lattice are treated as void nucleation sites after a critical vacancy concentration is reached. The resistance increase due to void growth was calculated using a cellular automaton, masking current free regions as quasi voids and adding the resistance of the slices of the lattice normal to the electron flow direction in series. A parallel computing environment was used to generate large numbers of interconnect models in order to obtain a pool of data for statistical analysis of interconnect lifetimes. The results of this analysis will be shown}, language = {en} } @misc{KlocekHenkelKolaneketal., author = {Klocek, Jolanta and Henkel, Karsten and Kolanek, Krzysztof and Zschech, Ehrenfried and Schmeißer, Dieter}, title = {Spectroscopic and capacitance-voltage characterization of thin aminopropylmethoxysilane films doped with copper phthalocyanine, tris(dimethylvinylsilyloxy)-POSS and fullerene cages}, series = {Applied surface science}, volume = {258}, journal = {Applied surface science}, number = {10}, issn = {0169-4332}, pages = {4213 -- 4221}, language = {en} } @inproceedings{BroczkowskaKlocekFriedrichetal., author = {Broczkowska, K. and Klocek, Jolanta and Friedrich, Daniel and Henkel, Karsten and Kolanek, Krzysztof and Urbanowicz, A. and Schmeißer, Dieter and Miller, M. and Zschech, Ehrenfried}, title = {Fullerene based materials for ultra-low-k application}, series = {International Students and Young Scientists Workshop "Photonics and Microsystems", Szklarska, Poreba, Poland, 25 - 27 June 2010}, booktitle = {International Students and Young Scientists Workshop "Photonics and Microsystems", Szklarska, Poreba, Poland, 25 - 27 June 2010}, publisher = {IEEE}, address = {Piscataway, NJ}, isbn = {978-1-4244-8324-2}, pages = {39 -- 43}, language = {en} } @misc{KlocekHenkelKolaneketal., author = {Klocek, Jolanta and Henkel, Karsten and Kolanek, Krzysztof and Broczkowska, K. and Schmeißer, Dieter and Miller, M. and Zschech, Ehrenfried}, title = {Studies of the chemical and electrical properties of fullerene and 3-aminopropyltrimethoxysilane based low-k materials}, series = {Thin Solid Films}, volume = {520}, journal = {Thin Solid Films}, number = {7}, issn = {0040-6090}, pages = {2498 -- 2504}, language = {en} } @misc{KlocekHenkelKolaneketal., author = {Klocek, Jolanta and Henkel, Karsten and Kolanek, Krzysztof and Zschech, Ehrenfried and Schmeißer, Dieter}, title = {Annealing influence on siloxane based materials incorporated with fullerenes, phthalocyanines and silsesquioxanes}, series = {BioNanoScience}, volume = {2}, journal = {BioNanoScience}, number = {1}, issn = {2191-1630}, pages = {52 -- 58}, language = {en} } @misc{ZagorodniyChumakovTaeschneretal., author = {Zagorodniy, Kostyantyn and Chumakov, Dmytro and T{\"a}schner, Christine and Lukowiak, Anna and Stegmann, Heiko and Schmeißer, Dieter and Geisler, Holm and Engelmann, Hans-J{\"u}rgen and Hermann, Helmut and Zschech, Ehrenfried}, title = {Novel Carbon-cage based ultralow-k materials: Modelling and first experiments}, doi = {10.1109/TSM.2008.2005396}, language = {en} } @misc{KolanekHermannDudeketal., author = {Kolanek, Krzysztof and Hermann, Peter and Dudek, Piotr T. and Gotszalk, Teodor and Chumakov, Dmytro and Weisheit, Martin and Hecker, Michael and Zschech, Ehrenfried}, title = {Local anodic oxidation by atomic force microscopy for nano-Raman strain measurements on silicon-germanium thin films}, series = {Thin Solid Films}, volume = {518}, journal = {Thin Solid Films}, number = {12}, issn = {0040-6090}, pages = {3267 -- 3272}, language = {en} }