@misc{KalettaWipfFraschkeetal., author = {Kaletta, Udo Christian and Wipf, Christian and Fraschke, Mirko and Wolansky, Dirk and Schubert, Markus Andreas and Schroeder, Thomas and Wenger, Christian}, title = {AlN/SiO2/Si3N4/Si(100) based CMOS compatible surface acoustic wave filter with -12.8 dB minimum insertion loss}, series = {IEEE Transactions on Electron Devices}, volume = {62}, journal = {IEEE Transactions on Electron Devices}, number = {3}, issn = {0018-9383}, doi = {10.1109/TED.2015.2395443}, pages = {764 -- 768}, language = {en} } @misc{BertaudWalczykWalczyketal., author = {Bertaud, Thomas and Walczyk, Damian and Walczyk, Christian and Kubotsch, S. and Wenger, Christian and Schr{\"o}der, Thomas and Vall{\´e}e, Christophe and Gonon, P. and Mannequin, C. and Jousseaume, V. and Grampeix, Helen}, title = {Resistive Switching of HfO2-based MIM diodes: Impact of the Top Electrode Materials}, series = {Thin Solid Films}, volume = {520}, journal = {Thin Solid Films}, number = {14}, issn = {0040-6090}, pages = {4551 -- 4555}, language = {en} } @misc{BertaudWalczykSowinskaetal., author = {Bertaud, Thomas and Walczyk, Damian and Sowinska, Małgorzata and Wolansky, Dirk and Tillack, Bernd and Schoof, Gunther and Korolevych, R. and Wenger, Christian and Thiess, Sebastian and Schroeder, Thomas and Walczyk, Christian}, title = {HfO2-based RRAM for Embedded Nonvolatile Memory: From Materials Science to Integrated 1T1R RRAM Arrays}, series = {ECS transactions}, volume = {50}, journal = {ECS transactions}, number = {4}, issn = {1938-6737}, pages = {21 -- 26}, language = {en} } @misc{DerschPerezBoschQuesadaPerezetal., author = {Dersch, Nadine and Perez-Bosch Quesada, Emilio and Perez, Eduardo and Wenger, Christian and Roemer, Christian and Schwarz, Mike and Kloes, Alexander}, title = {Efficient circuit simulation of a memristive crossbar array with synaptic weight variability}, series = {Solid State Electronics}, volume = {209}, journal = {Solid State Electronics}, issn = {0038-1101}, doi = {10.1016/j.sse.2023.108760}, abstract = {In this paper, we present a method for highly-efficient circuit simulation of a hardware-based artificial neural network realized in a memristive crossbar array. The statistical variability of the devices is considered by a noise-based simulation technique. For the simulation of a crossbar array with 8 synaptic weights in Cadence Virtuoso the new approach shows a more than 200x speed improvement compared to a Monte Carlo approach, yielding the same results. In addition, first results of an ANN with more than 15,000 memristive devices classifying test data of the MNIST dataset are shown, for which the speed improvement is expected to be several orders of magnitude. Furthermore, the influence on the classification of parasitic resistances of the connection lines in the crossbar is shown.}, language = {en} } @misc{PerezMaldonadoAcaletal., author = {Perez, Eduardo and Maldonado, David and Acal, Christian and Ruiz-Castro, Juan Eloy and Aguilera, Ana Mar{\´i}a and Jimenez-Molinos, Francisco and Roldan, Juan Bautista and Wenger, Christian}, title = {Advanced Temperature Dependent Statistical Analysis of Forming Voltage Distributions for Three Different HfO2-Based RRAM Technologies}, series = {Solid State Electronics}, volume = {176}, journal = {Solid State Electronics}, issn = {0038-1101}, pages = {6}, abstract = {In this work, voltage distributions of forming operations are analyzed by using an advanced statistical approach based on phase-type distributions (PHD). The experimental data were collected from batches of 128 HfO2-based RRAM devices integrated in 4-kbit arrays. Three di erent switching oxides, namely, polycrystalline HfO2, amorphous HfO2, and Al-doped HfO2, were tested in the temperature range from -40 to 150 oC. The variability of forming voltages has been usually studied by using the Weibull distribution (WD). However, the performance of the PHD analysis demonstrated its ability to better model this crucial operation. The capacity of the PHD to reproduce the experimental data has been validated by means of the Kolmogorov-Smirnov test, while the WD failed in many of the cases studied. In addition, PHD allows to extract information about intermediate probabilistic states that occur in the forming process and the transition probabilities between them; in this manner, we can deepen on the conductive lament formation physics. In particular, the number of intermediate states can be related to the device variability.}, language = {en} } @misc{MaiMarschmeyerPeczeketal., author = {Mai, Christian and Marschmeyer, Steffen and Peczek, Anna and Kroh, Aleksandra and Jose, Josmy and Reiter, Sebastian and Fischer, Inga Anita and Wenger, Christian and Mai, Andreas}, title = {Integration Aspects of Plasmonic TiN-based Nano-Hole-Arrays on Ge Photodetectorsin a 200mm Wafer CMOS Compatible Silicon Technology}, series = {ECS Transactions}, volume = {109}, journal = {ECS Transactions}, number = {4}, issn = {1938-5862}, doi = {10.1149/10904.0035ecst}, pages = {35 -- 46}, abstract = {In this work we present the progress in regard to the integration of a surface plasmon resonance refractive index sensor into a CMOS compatible 200 mm wafer silicon-based technology. Our approach pursues the combination of germanium photodetectors with metallic nanohole arrays. The paper is focused on the technology development to fabricate large area photodetectors based on a modern design concept. In a first iteration we achieved a leakage current density of 82 mA/cm2 at reverse bias of 0.5 V and a maximum optical responsivity of 0.103 A/W measured with TE polarized light at λ = 1310 nm and a reversed bias of 1 V. For the realization of nanohole arrays we used thin Titanium nitride (TiN) layers deposited by a sputtering process. We were able to produce very homogenous TiN layers with a thickness deviation of around 10 \% and RMS of 1.413 nm for 150 nm thick TiN layers.}, language = {en} } @misc{HanReiterSchlipfetal., author = {Han, Weijia and Reiter, Sebastian and Schlipf, Jon and Mai, Christian and Spirito, Davide and Jose, Josmy and Wenger, Christian and Fischer, Inga Anita}, title = {Strongly enhanced sensitivities of CMOS compatible plasmonic titanium nitride nanohole arrays for refractive index sensing under oblique incidence}, series = {Optics Express}, volume = {31}, journal = {Optics Express}, number = {11}, issn = {1094-4087}, doi = {10.1364/OE.481993}, pages = {17389 -- 17407}, abstract = {Titanium nitride (TiN) is a complementary metal-oxide-semiconductor (CMOS) compatible material with large potential for the fabrication of plasmonic structures suited for device integration. However, the comparatively large optical losses can be detrimental for application. This work reports a CMOS compatible TiN nanohole array (NHA) on top of a multilayer stack for potential use in integrated refractive index sensing with high sensitivities at wavelengths between 800 and 1500 nm. The stack, consisting of the TiN NHA on a silicon dioxide (SiO2) layer with Si as substrate (TiN NHA/SiO2/Si), is prepared using an industrial CMOS compatible process. The TiN NHA/SiO2/Si shows Fano resonances in reflectance spectra under oblique excitation, which are well reproduced by simulation using both finite difference time domain (FDTD) and rigorous coupled-wave analysis (RCWA) methods. The sensitivities derived from spectroscopic characterizations increase with the increasing incident angle and match well with the simulated sensitivities. Our systematic simulation-based investigation of the sensitivity of the TiN NHA/SiO2/Si stack under varied conditions reveals that very large sensitivities up to 2305 nm per refractive index unit (nm RIU-1) are predicted when the refractive index of superstrate is similar to that of the SiO2 layer. We analyze in detail how the interplay between plasmonic and photonic resonances such as surface plasmon polaritons (SPPs), localized surface plasmon resonances (LSPRs), Rayleigh Anomalies (RAs), and photonic microcavity modes (Fabry-P{\´e}rot resonances) contributes to this result. This work not only reveals the tunability of TiN nanostructures for plasmonic applications but also paves the way to explore efficient devices for sensing in broad conditions.}, language = {en} } @misc{ReiterHanMaietal., author = {Reiter, Sebastian and Han, Weijia and Mai, Christian and Spirito, Davide and Jose, Josmy and Z{\"o}llner, Marvin Hartwig and Fursenko, Oksana and Schubert, Markus Andreas and Stemmler, Ivo and Wenger, Christian and Fischer, Inga Anita}, title = {Titanium Nitride Plasmonic Nanohole Arrays for CMOS-Compatible Integrated Refractive Index Sensing: Influence of Layer Thickness on Optical Properties}, series = {Plasmonics}, journal = {Plasmonics}, issn = {1557-1963}, doi = {10.1007/s11468-023-01810-3}, pages = {1 -- 13}, language = {en} } @misc{MatbaechiEttehadWenger, author = {Matbaechi Ettehad, Honeyeh and Wenger, Christian}, title = {Characterization and Separation of Live and Dead Yeast Cells Using CMOS-Based DEP Microfluidics}, series = {Micromachines}, volume = {12}, journal = {Micromachines}, number = {3}, issn = {2072-666X}, doi = {10.3390/mi12030270}, pages = {19}, abstract = {This study aims at developing a miniaturized CMOS integrated silicon-based microfluidic system, compatible with a standard CMOS process, to enable the characterization, and separation of live and dead yeast cells (as model bio-particle organisms) in a cell mixture using the DEP technique. DEP offers excellent benefits in terms of cost, operational power, and especially easy electrode integration with the CMOS architecture, and requiring label-free sample preparation. This can increase the likeliness of using DEP in practical settings. In this work the DEP force was generated using an interdigitated electrode arrays (IDEs) placed on the bottom of a CMOS-based silicon microfluidic channel. This system was primarily used for the immobilization of yeast cells using DEP. This study validated the system for cell separation applications based on the distinct responses of live and dead cells and their surrounding media. The findings confirmed the device's capability for efficient, rapid and selective cell separation. The viability of this CMOS embedded microfluidic for dielectrophoretic cell manipulation applications and compatibility of the dielectrophoretic structure with CMOS production line and electronics, enabling its future commercially mass production.}, language = {en} } @misc{SoltaniZarrinWenger, author = {Soltani Zarrin, Pouya and Wenger, Christian}, title = {Implementation of Siamese-Based Few-Shot Learning Algorithms for the Distinction of COPD and Asthma Subjects}, series = {Artificial Neural Networks and Machine Learning - ICANN 2020 : 29th International Conference on Artificial Neural Networks, Bratislava, Slovakia, September 15-18, 2020, Proceedings, Part I}, journal = {Artificial Neural Networks and Machine Learning - ICANN 2020 : 29th International Conference on Artificial Neural Networks, Bratislava, Slovakia, September 15-18, 2020, Proceedings, Part I}, publisher = {Springer}, address = {Cham}, doi = {10.1007/978-3-030-61609-0_34}, pages = {431 -- 440}, abstract = {This paper investigates the practicality of applying braininspired Few-Shot Learning (FSL) algorithms for addressing shortcomings of Machine Learning (ML) methods in medicine with limited data availability. As a proof of concept, the application of ML for the detection of Chronic Obstructive Pulmonary Disease (COPD) patients was investigated. The complexities associated with the distinction of COPD and asthma patients and the lack of sufficient training data for asthma subjects impair the performance of conventional ML models for the recognition of COPD. Therefore, the objective of this study was to implement FSL methods for the distinction of COPD and asthma subjects with a few available data points. The proposed FSL models in this work were capable of recognizing asthma and COPD patients with 100\% accuracy, demonstrating the feasibility of the approach for applications such as medicine with insufficient data availability.}, language = {en} } @misc{PerezAvilaGonzalezCorderoPerezetal., author = {Perez-Avila, Antonio Javier and Gonzalez-Cordero, Gerardo and Perez, Eduardo and Perez-Bosch Quesada, Emilio and Mahadevaiah, Mamathamba Kalishettyhalli and Wenger, Christian and Roldan, Juan Bautista and Jimenez-Molinos, Francisco}, title = {Behavioral modeling of multilevel HfO2-based memristors for neuromorphic circuit simulation}, series = {XXXV Conference on Design of Circuits and Integrated Systems (DCIS), Segovia, Spain}, journal = {XXXV Conference on Design of Circuits and Integrated Systems (DCIS), Segovia, Spain}, doi = {10.1109/DCIS51330.2020.9268652}, abstract = {An artificial neural network based on resistive switching memristors is implemented and simulated in LTspice. The influence of memristor variability and the reduction of the continuous range of synaptic weights into a discrete set of conductance levels is analyzed. To do so, a behavioral model is proposed for multilevel resistive switching memristors based on Al-doped HfO2 dielectrics, and it is implemented in a spice based circuit simulator. The model provides an accurate description of the conductance in the different conductive states in addition to describe the device-to-device variability}, language = {en} } @misc{MoralesMahmoodinezhadSchubertetal., author = {Morales, Carlos and Mahmoodinezhad, Ali and Schubert, Andreas Markus and Wenger, Christian and Henkel, Karsten and Flege, Jan Ingo}, title = {Functional ultra-thin oxide films deposited by atomic layer deposition on structured substrates}, series = {Verhandlungen der DPG - SurfaceScience21}, volume = {2021}, journal = {Verhandlungen der DPG - SurfaceScience21}, publisher = {Deutsche Physikalische Gesellschaft e.V.}, address = {Bad Honnef}, abstract = {In the last decades, atomic layer deposition (ALD) has gained prominence in the materials and surface science communities owing to its high potential for integration as a scalable process in microelectronics. ALD's largest strengths are its well-controlled layer-by-layer deposition and growth conformity on 3D structures. Yet, the ALD technique is also well known to lead to amorphous and defective, non-stoichiometric thin films, resulting in modified materials properties that may even preferentially be used in certain applications. To study these issues, we have developed an in-situ ALD reactor attached to an X-ray photoelectron spectroscopy (XPS) system, capable of switching between both pump and flow-type operation. This novel tool allows to cover the entire range of compounds and recipes used in ALD, thus clarifying the role of such defects at different deposition stages, growth conditions and film/substrate interfaces. To exemplify these sorts of studies, we show the deposition of Al2O3 5-10 nm films on nanostructured Si, and their use as substrates for functional CeOx ALD deposits.}, language = {en} } @misc{PerezPerezAvilaRomeroZalizetal., author = {Perez, Eduardo and P{\´e}rez-{\´A}vila, Antonio Javier and Romero-Zaliz, Roc{\´i}o and Mahadevaiah, Mamathamba Kalishettyhalli and P{\´e}rez-Bosch Quesada, Emilio and Roldan, Juan Bautista and Jim{\´e}nez-Molinos, Francisco and Wenger, Christian}, title = {Optimization of Multi-Level Operation in RRAM Arrays for In-Memory Computing}, series = {Electronics (MDPI)}, volume = {10}, journal = {Electronics (MDPI)}, number = {9}, issn = {2079-9292}, doi = {10.3390/electronics10091084}, pages = {15}, abstract = {Accomplishing multi-level programming in resistive random access memory (RRAM) arrays with truly discrete and linearly spaced conductive levels is crucial in order to implement synaptic weights in hardware-based neuromorphic systems. In this paper, we implemented this feature on 4-kbit 1T1R RRAM arrays by tuning the programming parameters of the multi-level incremental step pulse with verify algorithm (M-ISPVA). The optimized set of parameters was assessed by comparing its results with a non-optimized one. The optimized set of parameters proved to be an effective way to define non-overlapped conductive levels due to the strong reduction of the device-to-device variability as well as of the cycle-to-cycle variability, assessed by inter-levels switching tests and during 1k reset-set cycles. In order to evaluate this improvement in real scenarios, the experimental characteristics of the RRAM devices were captured by means of a behavioral model, which was used to simulate two different neuromorphic systems: an 8×8 vector-matrixmultiplication (VMM) accelerator and a 4-layer feedforward neural network for MNIST database recognition. The results clearly showed that the optimization of the programming parameters improved both the precision of VMM results as well as the recognition accuracy of the neural network in about 6\% compared with the use of non-optimized parameters.}, language = {en} } @misc{PerezMahadevaiahPerezBoschQuesadaetal., author = {Perez, Eduardo and Mahadevaiah, Mamathamba Kalishettyhalli and Perez-Bosch Quesada, Emilio and Wenger, Christian}, title = {Variability and Energy Consumption Tradeoffs in Multilevel Programming of RRAM Arrays}, series = {IEEE Transactions on Electron Devices}, volume = {68}, journal = {IEEE Transactions on Electron Devices}, number = {6}, issn = {0018-9383}, doi = {10.1109/TED.2021.3072868}, pages = {2693 -- 2698}, abstract = {Achieving a reliable multi-level programming operation in resistive random access memory (RRAM) arrays is still a challenging task. In this work, we assessed the impact of the voltage step value used by the programming algorithm on the device-to-device (DTD) variability of the current distributions of four conductive levels and on the energy consumption featured by programming 4-kbit HfO2-based RRAM arrays. Two different write-verify algorithms were considered and compared, namely, the incremental gate voltage with verify algorithm (IGVVA) and the incremental step pulse with verify algorithm (ISPVA). By using the IGVVA, a main trade-off has to be taken into account since reducing the voltage step leads to a smaller DTD variability at the cost of a strong increase in the energy consumption. Although the ISPVA can not reduce the DTD variability as much as the IGVVA, its voltage step can be decreased in order to reduce the energy consumption with almost no impact on the DTD variability. Therefore, the final decision on which algorithm to employ should be based on the specific application targeted for the RRAM array.}, language = {en} } @misc{RomeroZalizPerezJimenezMolinosetal., author = {Romero-Zaliz, Roc{\´i}o and Perez, Eduardo and Jimenez-Molinos, Francisco and Wenger, Christian and Roldan, Juan Bautista}, title = {Influence of variability on the performance of HfO2 memristor-based convolutional neural networks}, series = {Solid State Electronics}, volume = {185}, journal = {Solid State Electronics}, issn = {0038-1101}, doi = {10.1016/j.sse.2021.108064}, pages = {5}, abstract = {A study of convolutional neural networks (CNNs) was performed to analyze the influence of quantization and variability in the network synaptic weights. Different CNNs were considered accounting for the number of convolutional layers, size of the filters in the convolutional layer, number of neurons in the final network layers and different sets of quantization levels. The conductance levels of fabricated 1T1R structures based on HfO2 memristors were considered as reference for four or eight level quantization processes at the inference stage of the CNNs, which were previous trained with the MNIST dataset. We also included the variability of the experimental conductance levels that was found to be Gaussian distributed and was correspondingly modeled for the synaptic weight implementation.}, language = {en} } @misc{YunLeeKimetal., author = {Yun, Min Ju and Lee, Doowon and Kim, Sungho and Wenger, Christian and Kim, Hee-Dong}, title = {A nonlinear resistive switching behaviors of Ni/HfO2/TiN memory structures for self-rectifying resistive switching memory}, series = {Materials Characterization}, volume = {182}, journal = {Materials Characterization}, issn = {1044-5803}, doi = {10.1016/j.matchar.2021.111578}, pages = {7}, abstract = {This work reports forming free/self-rectifying resistive switching characteristics and dependency of the top electrode (TE) of a crystalline HfO2-based resistive switching memory device. In the memory cells, nonlinear bipolar resistive switching characteristics, i.e., an asymmetric current-voltage curve like the Schottky diode, was observed. In addition, the device exhibits resistive switching behaviors without forming process, which makes it possible to switch the resistance state under ultra-low current levels of <10 nA. In addition, compared to the resistive switching of the proposed resistive switching memory devices with different TEs, the VSET was decreased when using TE with lower work function, and the height read margin was obtained in the sample with the Ni TE, covering over 56 × 56 arrays. Consequently, these results indicate that the interface control resistive switching properties in memory structures having the Schottky junction warrant the realization of selector-free resistive switching memory cells in a high-density crossbar array.}, language = {en} } @misc{PerezBoschQuesadaPerezMahadevaiahetal., author = {Perez-Bosch Quesada, Emilio and Perez, Eduardo and Mahadevaiah, Mamathamba Kalishettyhalli and Wenger, Christian}, title = {Memristive-based in-memory computing: from device to large-scale CMOS integration}, series = {Neuromorphic Computing and Engineering}, volume = {1}, journal = {Neuromorphic Computing and Engineering}, number = {2}, issn = {2634-4386}, doi = {10.1088/2634-4386/ac2cd4}, pages = {8}, abstract = {With the rapid emergence of in-memory computing systems based on memristive technology, the integration of such memory devices in large-scale architectures is one of the main aspects to tackle. In this work we present a study of HfO2-based memristive devices for their integration in large-scale CMOS systems, namely 200 mm wafers. The DC characteristics of single metal-insulator-metal devices are analyzed taking under consideration device-to-device variabilities and switching properties. Furthermore, the distribution of the leakage current levels in the pristine state of the samples are analyzed and correlated to the amount of formingless memristors found among the measured devices. Finally, the obtained results are fitted into a physic-based compact model that enables their integration into larger-scale simulation environments.}, language = {en} } @misc{RomeroZalizCantudoPerezetal., author = {Romero-Zaliz, Rocio and Cantudo, Antonio and Perez, Eduardo and Jimenez-Molinos, Francisco and Wenger, Christian and Roldan, Juan Bautista}, title = {An Analysis on the Architecture and the Size of Quantized Hardware Neural Networks Based on Memristors}, series = {Electronics (MDPI)}, volume = {10}, journal = {Electronics (MDPI)}, number = {24}, issn = {2079-9292}, doi = {10.3390/electronics10243141}, abstract = {We have performed different simulation experiments in relation to hardware neural networks (NN) to analyze the role of the number of synapses for different NN architectures in the network accuracy, considering different datasets. A technology that stands upon 4-kbit 1T1R ReRAM arrays, where resistive switching devices based on HfO2 dielectrics are employed, is taken as a reference. In our study, fully dense (FdNN) and convolutional neural networks (CNN) were considered, where the NN size in terms of the number of synapses and of hidden layer neurons were varied. CNNs work better when the number of synapses to be used is limited. If quantized synaptic weights are included, we observed thatNNaccuracy decreases significantly as the number of synapses is reduced; in this respect, a trade-off between the number of synapses and the NN accuracy has to be achieved. Consequently, the CNN architecture must be carefully designed; in particular, it was noticed that different datasets need specific architectures according to their complexity to achieve good results. It was shown that due to the number of variables that can be changed in the optimization of a NN hardware implementation, a specific solution has to be worked in each case in terms of synaptic weight levels, NN architecture, etc.}, language = {en} } @misc{BischoffLeisePerezBoschQuesadaetal., author = {Bischoff, Carl and Leise, Jakob and Perez-Bosch Quesada, Emilio and Perez, Eduardo and Wenger, Christian and Kloes, Alexander}, title = {Implementation of device-to-device and cycle-to-cycle variability of memristive devices in circuit simulations}, series = {Solid-State Electronics}, volume = {194}, journal = {Solid-State Electronics}, issn = {0038-1101}, doi = {10.1016/j.sse.2022.108321}, pages = {4}, abstract = {We present a statistical procedure for the extraction of parameters of a compact model for memristive devices. Thereby, in a circuit simulation the typical fluctuations of the current-voltage (I-V) characteristics from device-to-device (D2D) and from cycle-to-cycle (C2C) can be emulated. The approach is based on the Stanford model whose parameters play a key role to integrating D2D and C2C dispersion. The influence of such variabilities over the model's parameters is investigated by using a fitting algorithm fed with experimental data. After this, the statistical distributions of the parameters are used in a Monte Carlo simulation to reproduce the I-V D2D and C2C dispersions which show a good agreement to the measured curves. The results allow the simulation of the on/off current variation for the design of RRAM cells or memristor-based artificial neural networks.}, language = {en} } @misc{MannocciBaroniMelacarneetal., author = {Mannocci, Piergiulio and Baroni, Andrea and Melacarne, Enrico and Zambelli, Cristian and Olivo, Piero and Perez, Eduardo and Wenger, Christian and Ielmini, Daniele}, title = {In-Memory Principal Component Analysis by Crosspoint Array of Rresistive Switching Memory}, series = {IEEE Nanotechnology Magazine}, volume = {16}, journal = {IEEE Nanotechnology Magazine}, number = {2}, issn = {1932-4510}, doi = {10.1109/MNANO.2022.3141515}, pages = {4 -- 13}, abstract = {In Memory Computing (IMC) is one of the most promising candidates for data-intensive computing accelerators of machine learning (ML). A key ML algorithm for dimensionality reduction and classification is principal component analysis (PCA), which heavily relies on matrixvector multiplications (MVM) for which classic von Neumann architectures are not optimized. Here, we provide the experimental demonstration of a new IMCbased PCA algorithm based on power iteration and deflation executed in a 4-kbit array of resistive switching random-access memory (RRAM). The classification accuracy of the Wisconsin Breast Cancer data set reaches 95.43\%, close to floatingpoint implementation. Our simulations indicate a 250× improvement in energy efficiency compared to commercial GPUs, thus supporting IMC for energy-efficient ML in modern data-intensive computing.}, language = {en} }